From: bangerth Date: Sun, 14 Oct 2007 23:00:11 +0000 (+0000) Subject: Fix a bug where we don't keep track of counters properly. X-Git-Url: https://gitweb.dealii.org/cgi-bin/gitweb.cgi?a=commitdiff_plain;h=538ec8ef640b6490b30bf8ec924879cfd1d0c620;p=dealii-svn.git Fix a bug where we don't keep track of counters properly. git-svn-id: https://svn.dealii.org/trunk@15316 0785d39b-7218-0410-832d-ea1e28bc413d --- diff --git a/deal.II/deal.II/source/numerics/data_out.cc b/deal.II/deal.II/source/numerics/data_out.cc index a44de1daae..0ccdee4aaa 100644 --- a/deal.II/deal.II/source/numerics/data_out.cc +++ b/deal.II/deal.II/source/numerics/data_out.cc @@ -564,8 +564,11 @@ DataOut_DoFData::get_vector_data_ranges () const ranges.push_back (range); // increase the 'component' counter - // by the appropriate amount + // by the appropriate amount, same + // for 'i', since we have already + // dealt with all these components output_component += patch_space_dim-1; + i += patch_space_dim-1; } // note that we do not have to traverse the