From: Wolfgang Bangerth Date: Thu, 10 May 2018 01:46:36 +0000 (+0800) Subject: semicolon X-Git-Tag: v9.1.0-rc1~1156^2 X-Git-Url: https://gitweb.dealii.org/cgi-bin/gitweb.cgi?a=commitdiff_plain;h=5bcc22e97943acfbc734bbeb18e1fecd66921736;p=dealii.git semicolon --- diff --git a/include/deal.II/numerics/dof_output_operator.templates.h b/include/deal.II/numerics/dof_output_operator.templates.h index 587a2b8a91..3f625d68c1 100644 --- a/include/deal.II/numerics/dof_output_operator.templates.h +++ b/include/deal.II/numerics/dof_output_operator.templates.h @@ -66,7 +66,7 @@ namespace Algorithms out.write (out_filename); out.clear (); return *this; - }; + } } DEAL_II_NAMESPACE_CLOSE