From: heister Date: Fri, 24 Jan 2014 21:00:30 +0000 (+0000) Subject: fix quick_test X-Git-Url: https://gitweb.dealii.org/cgi-bin/gitweb.cgi?a=commitdiff_plain;h=b555fa4cbc0e805e47a636a54b885891ce47a6ea;p=dealii-svn.git fix quick_test git-svn-id: https://svn.dealii.org/trunk@32303 0785d39b-7218-0410-832d-ea1e28bc413d --- diff --git a/deal.II/tests/quick_tests/step-petsc.cc b/deal.II/tests/quick_tests/step-petsc.cc index 21586bf98a..bed3725cfc 100644 --- a/deal.II/tests/quick_tests/step-petsc.cc +++ b/deal.II/tests/quick_tests/step-petsc.cc @@ -109,7 +109,7 @@ void LaplaceProblem::assemble_system () std::vector local_dof_indices (dofs_per_cell); - typename DoFHandler<2>::active_cell_iterator + DoFHandler<2>::active_cell_iterator cell = dof_handler.begin_active (), endc = dof_handler.end ();