From 2c71a89d71f24920776fba37d96757c42c8872e3 Mon Sep 17 00:00:00 2001 From: Sebastian Kinnewig Date: Tue, 21 Nov 2023 19:33:09 +0100 Subject: [PATCH] fix TpetraWrappers::Vector --- include/deal.II/lac/trilinos_tpetra_vector.templates.h | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/include/deal.II/lac/trilinos_tpetra_vector.templates.h b/include/deal.II/lac/trilinos_tpetra_vector.templates.h index bb122eb890..ad5b04d76e 100644 --- a/include/deal.II/lac/trilinos_tpetra_vector.templates.h +++ b/include/deal.II/lac/trilinos_tpetra_vector.templates.h @@ -94,7 +94,7 @@ namespace LinearAlgebra parallel_partitioner.make_tpetra_map_rcp(communicator, false); if (vector->getMap()->isSameAs(*input_map) == false) - Utilities::Trilinos::internal::make_rcp(input_map); + vector = Utilities::Trilinos::internal::make_rcp(input_map); else if (omit_zeroing_entries == false) { vector->putScalar(0.); @@ -115,7 +115,7 @@ namespace LinearAlgebra Teuchos::RCP input_map = parallel_partitioner.make_tpetra_map_rcp(communicator, true); - Utilities::Trilinos::internal::make_rcp(input_map); + vector = Utilities::Trilinos::internal::make_rcp(input_map); } -- 2.39.5