From 6fe819d411126ae887aa8092cae83ecae571b2e6 Mon Sep 17 00:00:00 2001 From: Wolfgang Bangerth Date: Fri, 30 May 2003 19:05:54 +0000 Subject: [PATCH] Update a comment. git-svn-id: https://svn.dealii.org/trunk@7689 0785d39b-7218-0410-832d-ea1e28bc413d --- deal.II/deal.II/include/numerics/data_out.h | 10 ++++++++++ 1 file changed, 10 insertions(+) diff --git a/deal.II/deal.II/include/numerics/data_out.h b/deal.II/deal.II/include/numerics/data_out.h index 96df493f59..da37b64190 100644 --- a/deal.II/deal.II/include/numerics/data_out.h +++ b/deal.II/deal.II/include/numerics/data_out.h @@ -271,6 +271,11 @@ class DataOut_DoFData : public DataOutInterface * exception declared in this * class to see which characters * are valid and which are not. + * + * The actual type for the + * template argument may only be + * either @p{Vector} or + * @p{BlockVector}. */ template void add_data_vector (const VECTOR &data, @@ -300,6 +305,11 @@ class DataOut_DoFData : public DataOutInterface * components by appending an * underscore and the number of * each component to @p{name} + * + * The actual type for the + * template argument may only be + * either @p{Vector} or + * @p{BlockVector}. */ template void add_data_vector (const VECTOR &data, -- 2.39.5