From 7079e82a5e1d2262e9a2b6bb43541d39282022fc Mon Sep 17 00:00:00 2001 From: Wolfgang Bangerth Date: Thu, 26 Feb 2004 19:32:53 +0000 Subject: [PATCH] Doc. git-svn-id: https://svn.dealii.org/trunk@8576 0785d39b-7218-0410-832d-ea1e28bc413d --- tests/bits/data_out_stack_02.cc | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/tests/bits/data_out_stack_02.cc b/tests/bits/data_out_stack_02.cc index 38823a5888..ea70942e85 100644 --- a/tests/bits/data_out_stack_02.cc +++ b/tests/bits/data_out_stack_02.cc @@ -11,6 +11,10 @@ // //---------------------------- data_out_stack_02.cc --------------------------- +// slight variation of data_out_stack_01, but calling add_data_vector with a +// vector second argument. on most systems this doesn't make a difference, but +// on some it failed linking in the past due to non-existence of weak symbols + #include "../tests.h" #include "data_out_common.cc" #include -- 2.39.5