From 735b99d9d45d742dcaefb2a119ab073c293da1bb Mon Sep 17 00:00:00 2001 From: bangerth Date: Fri, 24 May 2013 19:19:35 +0000 Subject: [PATCH] New test. git-svn-id: https://svn.dealii.org/trunk@29580 0785d39b-7218-0410-832d-ea1e28bc413d --- tests/mpi/trilinos_distribute_01.cc | 170 ++++++++++++++++++ .../trilinos_distribute_01/ncpu_1/cmp/generic | 2 + .../ncpu_10/cmp/generic | 2 + .../trilinos_distribute_01/ncpu_2/cmp/generic | 2 + .../trilinos_distribute_01/ncpu_4/cmp/generic | 2 + 5 files changed, 178 insertions(+) create mode 100644 tests/mpi/trilinos_distribute_01.cc create mode 100644 tests/mpi/trilinos_distribute_01/ncpu_1/cmp/generic create mode 100644 tests/mpi/trilinos_distribute_01/ncpu_10/cmp/generic create mode 100644 tests/mpi/trilinos_distribute_01/ncpu_2/cmp/generic create mode 100644 tests/mpi/trilinos_distribute_01/ncpu_4/cmp/generic diff --git a/tests/mpi/trilinos_distribute_01.cc b/tests/mpi/trilinos_distribute_01.cc new file mode 100644 index 0000000000..db6bf2f158 --- /dev/null +++ b/tests/mpi/trilinos_distribute_01.cc @@ -0,0 +1,170 @@ +//--------------------------------------------------------------------------- +// $Id$ +// Version: $Name$ +// +// Copyright (C) 2009, 2010, 2012, 2013 by the deal.II authors +// +// This file is subject to QPL and may not be distributed +// without copyright and license information. Please refer +// to the file deal.II/doc/license.html for the text and +// further information on this license. +// +//--------------------------------------------------------------------------- + + +// check ConstraintMatrix.distribute() for a trilinos vector +// +// we do this by creating a vector where each processor has 100 +// elements but no ghost elements. then we add constraints on each +// processor that constrain elements within each processor's local +// range to ones outside. these have to be added on all +// processors. then call distribute() and verify that the result is +// true. +// +// we use constraints of the form x_i = x_j with sequentially growing +// x_j's so that we can verify the correctness analytically + +#include "../tests.h" +#include +#include +#include + +#include +#include + + + +void test() +{ + const unsigned int myid = Utilities::MPI::this_mpi_process (MPI_COMM_WORLD); + const unsigned int n_processes = Utilities::MPI::n_mpi_processes (MPI_COMM_WORLD); + + // create a vector that consists of elements indexed from 0 to n + TrilinosWrappers::MPI::Vector vec; + { + IndexSet is (100*n_processes); + is.add_range (100*myid, 100*myid+100); + vec.reinit (is, MPI_COMM_WORLD); + } + Assert (vec.local_size() == 100, ExcInternalError()); + Assert (vec.local_range().first == 100*myid, ExcInternalError()); + Assert (vec.local_range().second == 100*myid+100, ExcInternalError()); + for (unsigned int i=vec.local_range().first; i (100*myid-50, 0), + std::min (100*myid+150, vec.size())); + ConstraintMatrix cm (locally_relevant_range); + + // add constraints that constrain an element in the middle of the + // local range of each processor against an element outside, both in + // the ghost range before and after + // + // note that we tell each processor about all constraints, but most + // of them will throw away this information since it is not for a + // DoF inside the locally relevant range + for (unsigned int p=0; p