From c4bbcbfa0fc15a6a2926b354ab14f4db9608fc7e Mon Sep 17 00:00:00 2001 From: wolf Date: Thu, 29 Apr 1999 19:20:00 +0000 Subject: [PATCH] Fix ommission. git-svn-id: https://svn.dealii.org/trunk@1228 0785d39b-7218-0410-832d-ea1e28bc413d --- deal.II/lac/include/lac/sparse_ilu.h | 1 - 1 file changed, 1 deletion(-) diff --git a/deal.II/lac/include/lac/sparse_ilu.h b/deal.II/lac/include/lac/sparse_ilu.h index 6a33d842e2..8b0dfd6bb5 100644 --- a/deal.II/lac/include/lac/sparse_ilu.h +++ b/deal.II/lac/include/lac/sparse_ilu.h @@ -69,7 +69,6 @@ * \begin{verbatim} * SparseILU ilu (ilu_sparsity); * ilu.decompose (global_matrix); - * global_matrix.set_preconditioner (ilu); * * somesolver.solve (A, x, f, * PreconditionUseMatrix,Vector > -- 2.39.5