From cadca3cc91883bb04da087cf5ccdb52968fca3e8 Mon Sep 17 00:00:00 2001 From: bangerth Date: Wed, 10 Oct 2007 21:57:28 +0000 Subject: [PATCH] Add a comment. git-svn-id: https://svn.dealii.org/trunk@15286 0785d39b-7218-0410-832d-ea1e28bc413d --- deal.II/base/include/base/data_out_base.h | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/deal.II/base/include/base/data_out_base.h b/deal.II/base/include/base/data_out_base.h index 4f0cd37e20..9120b286e6 100644 --- a/deal.II/base/include/base/data_out_base.h +++ b/deal.II/base/include/base/data_out_base.h @@ -2616,6 +2616,12 @@ class DataOutReader : public DataOutInterface virtual std::vector get_dataset_names () const; private: + /** + * Arrays holding the set of + * patches that will be filled by + * derived classes as well as the + * names of output variables. + */ std::vector > patches; std::vector dataset_names; }; -- 2.39.5