From d8d2129283606ae23bcd154af2ee259eb356e560 Mon Sep 17 00:00:00 2001 From: bangerth Date: Thu, 1 Nov 2007 23:19:41 +0000 Subject: [PATCH] Add a nicer graph using a more sophisticated visualization program. git-svn-id: https://svn.dealii.org/trunk@15429 0785d39b-7218-0410-832d-ea1e28bc413d --- deal.II/examples/step-12/doc/results.dox | 9 ++++++--- .../step-12/doc/step-12.3d-solution.png | Bin 0 -> 68881 bytes 2 files changed, 6 insertions(+), 3 deletions(-) create mode 100644 deal.II/examples/step-12/doc/step-12.3d-solution.png diff --git a/deal.II/examples/step-12/doc/results.dox b/deal.II/examples/step-12/doc/results.dox index 2ca9f6b9d6..ecf8b2c67f 100644 --- a/deal.II/examples/step-12/doc/results.dox +++ b/deal.II/examples/step-12/doc/results.dox @@ -75,11 +75,14 @@ and after five adaptive refinement steps. @image html step-12.sol-5.png -Then we show the final grid (after 5 refinement steps). The -grid is largely concentrated in the vicinity of the jump of the -solution. +Then we show the final grid (after 5 refinement steps) and the solution again, +this time with a nicer 3d rendering (obtained using the DataOutBase::write_vtk +function and the VTK-based VisIt visualization program) that better shows the +sharpness of the jump on the refined mesh and the over- and undershoots of the +solution along the interface: @image html step-12.grid-5.png +@image html step-12.3d-solution.png And finally we show a plot of a 3d computation. diff --git a/deal.II/examples/step-12/doc/step-12.3d-solution.png b/deal.II/examples/step-12/doc/step-12.3d-solution.png new file mode 100644 index 0000000000000000000000000000000000000000..5520d747070b8d0e587d452c36365e138fd7859b GIT binary patch literal 68881 zcmV)gK%~EkP)00Ei^0ssI2=5?KY00004XF*Lt006O% z3;baP0000obVXQnQ*UN;cVTj609aNac4cyNX>V>IGcGYOVJ&KPZDMamX?QC|ZfGEH zW*{;!Ff}kVF)&}kSTFzpAOJ~3K~#90?7er8UDti*`T3o5Zg{C*ccUAj0U{8QnIM=z zQi+mf$w88XY-??g?X^T{#Z$@4e@o{o}rFfFwv!A{!up{?;qh>z8i8{hr_N_x*({-g~Yc zc;~$Z5v4&c_uedE0rLxUxp&}FLsamZSG>zEcz1#J3%Gi4&CuIBM2#PMe02BUMdjf& z_kLOjv3C|xCMv(dHx_8WfGZnv%{b>CTs62h=g1;~Jp1cZfRu(pMh;(#K51s}A>#4_!n=>D~t}O8X0~y|dcH*FW%(4hlBy z#C=QGz3(5tme1aKXOVnS(IRSr^9z`7T=n$!AZdH@EB|7B+L_em0(!rd<9^*|dbFQ? z*Jjz=5|FeJ5u5mt+wNX=`^RnC(LucK{?FG54pnn=Kx2FpsBtlL3!aG|K)GS7319b`1vh-`TN_J2sWiWv{IKx#t%JZqVp$KM7;N+l!(rk0cWkV zP6Y2s+xf1(mh;*ayh~M3Sl3hUzNdQ+3#NVnug29(Zy;$+e*F@dZa{D;i#I(uc4UW3 zXTB%7dYnHW$zO!?cu>5?y-z!J9TsOfZ{>{=br&)93!J~^aMjYgXS%=fo6rBnZ_Kyp z23$sE@k&(~89)3g)BSznb)3IIy}Q7@M<@-J)oh|JH}!d0&bs>_Qg34F7dU^7;VPxK zcZeF>_sDntpO1L&Uj5cv{DtphYa(J(Kd}0asWV5iWJ=y?zP#4GpH0*WZ)WP3Z2Vx_ zshhC)uI0RQw$pAGOBXK_TEN@KRZ4F>$YWpl$my51g=OuXJ^%6#{CjT-5xNOtFb}5-(SGhSUWjef_mnaZDCo?bNlV!qL=pt zM0OqtgiF3wdhflxP+IGm`kJ3^;Z$zW|=nT`R{)1pZ(I0`LO)e9jA(= z$~$yS3wRZ-HhSlt`D0)B$mpJJL1DIgZb1!}mz3c6rfJLZ9C4)G_s%imCxLM?+^XhCq8Md)p{Wg zeu<&tg~NlIrGf~rF%RcC|3-9qYoxW;2Df>gjHiqU9uZTW<9ybx zO_|7yAAac@|MmlgYRNk%qOxvt$;Jm4Z+K9-_Skgx@BXhZ)|>XW&9}UA;KcOI^z`)1 zi|S@r^H>XKkO}Wo{KT*bxijD-Jz#l9^@k4t2wU`RT^Bm zas1FT-NsDr-p>hmM)UAX6t!8moVzy-zjAmqF7VB7{KdzA?$f}6fBuf+Dxr6-sEzK~ zc6!gYApRc7upgZBue6w|XXyUkd+a31+N~ueH6jwd25_*ehaJ#qtHXh^$$v^)9oOk}x=egfCxEQ~^ z_o8W>JLG8th)>(rrZ$LB0g-YqjZ%cAWo8mioNXpuMB^OvDhn4XWZz+24eMo|cYW#a ze(U&ikAx-jTDAOb!1><8Ix^dPIEQmsf5D1yNpMA6_#&jOY2}h2P+6DjZu{`vzwmE| z*4@=;GTu<j{5_a^AoC@hZH9L-GzCRgWG#a`NS8+l_|x zOf|%Nm1MZ;x>{E7isyIkoStqbRxfC3^MuRKhqsB`bnkoY3t`MVQ(is~_+Az3Kj1H1 zO3dx8aDfcrU-cHVZ;6O=J{nkicHa>~Qs0KmBrRYElL2$|})$H{1C)IghWhv;xx89WPWLo%2zwCu@_9){M0{FT0Pi z{>CjqSV=ORQKE5>IUmKv>(;Ex(sVwL=Gw)TOmFXi(cRmQ?)XX&^A0L%mk}4`{JV$t z@Y)Q*tKOP>=0TNbvcX2(HWHbfq0^>cPj9^Q-bNcVnWdW%hD^^ipLu#m6vvlh*RMTX z$@I?aSRVO&-jsZ~cjlVFTUlsC#5;oiwMPygN6cxtF$oD=JAhVfm_cg<=U|Vv0}w!l#R+vbFP`^0)?ZrPBMgbu0^*f6R$$ZxTBQ z;4yLKOnYc@%ATAI(&CU5E2A|!PE@Qi>!TtsKEHEvvSvc#JwXt{HC!MxpSU9FJ%4p9 z3wW!d+H2kd=dg~R;nq4Of=ZFesU{TdNx8Rk} zE3QO(U+OxR1>|@`CVH&J3!<))0i0SVh+y0He9zQ!8mICLzHgqzQl;Nc# ztJZExQg@N0`U2iLTzT}Ktz-GZyQGfgD#IH&-ttD)+oOXps`PidOwWiw)Hjm#ZAv=~ zmMdZ3l17KYm7AJb5h1fU5eI$!!~6H{^@_~B%Mt@u7p^>d&(^Vg@!edH2S2R?w91Rgf_1LbIct?5hx{1?=l%Y0bN6+%e zBRfl`-DtL^XU=VT-yO{c#s2E@RcpKiBP-Ws7DU2WM0qbPs3!A@E6Ta`PLw&b_mP*s z{##KA_kE^P@+o5Tv};#4)a&|Tb9nCyMLkuUI62YiwlERLPqU^^CR?uA;=tikL8+!9 z&P`6#Pfd8ui_h{PF{q^7OHl6xDuLOv5zI9hqbt;^T0a$+YZraK7;K_p5O79_EV!sYb# zWR_NIA;Ow3Tsidi4&?OiZKq$^7M11uYC`ais8}6dyTzseQK_noSz!2u5H3=(+5ZzMO>*Nfk7Vwx;oKQU9BtTTRUX347MBWrIc z^$mRV7yhRe>(?ob6)^=tlVnNK?Y{EzuF1*zb!#@o#iDa_E`j8OD4m;|#I%5S7*~Y# z_8@6{@~{85^=W5Po3~rQcx`HI7dC9a25(-}8wb57&JtILXWFhcGhtH>9A)omRxj&c za^32%P~5j~PnLCqD4d+GDUBDB3^bX?i&*a)Ep$lgK+$NS1L{q}LS@G@3)XwSaJfyG z_dxB@N7J)s-|!>O0{b5QLc2DZS1G^kZC-QSz7YO(k3eG-O3<&%X)8eqN+C+bBhkt; z)7j`G$HsJ{!Nyzf=sNc8+I8&E?sliqX}9aOn$n0u8=T-gUhv`_qC-&zAhT5at0oBS zH9bc9QsA=E+j~S@y}s*151#ts7XW{e3e#Q*>b^(67(@$oEI%OLya@~t!6>xCXaY^3 z(L!i?QMa{Vecz($xf(m4ul?;#nVPi4B8B!Ln>CAlw3{{OG8GV)W6{d`UX7|3P-Gd- z>uP_WwV+g*v0~-gxLC4wp&e*`aXIPjtp!ef=?kgj%pd-TY-&=>Yd!DtqtwnV)UnJ9 z=5pGvDgO=(wHmE1sb}mglMT+EYaTdqWTeE>$hR{R%b9bvc9Lcur$Fm=oBKcd4+fSj z*8wIBa&B8kv0U16?|X-qtmvjV@57kqcRe>TSwpd4zvmT~+c^i9W%6Vg|$nqXl_>iptz z(p!uHW_E5D!GTRL&fY6@l-j!iImtz4Lul#A;Byr$O3=oH#j1DtEy`i`&PweLQ#Bsl z#edt*@pCNgmn8+3-+GsitIdQwitcuuXwaZAq3Ii}wwg^7^6Zm;H$1Y!dPEC~)MgVC zHEkHVZf#sBDJqa77x6z>$!TPZXMPB>e+L( zv*+67N=X(9TILOx@63t-Dp0CVS?47-Q%`KSGcy-{f`w6PZyRqeU1>wws!bf(sY8yR z^ryy1yBt2nw_o7E8N!I+KKe?uGS$r}4i2rmZA)V&wN`m3R0dYwxV6)vJh14-yS7fA ztL@+Yd>G?=9&%gf@zCnn%yD}3(8OfjdQ$7OVQRXzZ~u##Qq7PU%35=DI;z9S4Mk&?{FOh}Pb5f0`ghi4yB6RR>mW+L}4ylF8*X=Y15%qCpkz zx$lEF-h9i66SX_y zMesqjV1?xC*hPXf!(rCIQ z@g`I*<)-(1Sd6~u-j5$Ybf7e_X!(Y_JhWT2L$7R)3OH}WIMM+ghX@K$WL7@@Gruyt zbn)TCFP=Vgv{>PlS6+DWrR`&9Pv&FZ{4nEnXYLKBOA9&%~ZYR+5Pj{>rW=i+wbkQ}=!F zQ$tJp(#+=E_TsawyJz=vOGh>|n$5|H`rxAK$coiRkDqC_TcU8@8KaIKKi+CJS+L&o zhRaNEkGCM@QoL%VgpB^#A0+2SbzDrEuO6kgu)4niF64#MJu{d$%L)XBnQ7WB8g*P! zn?Aa8w9U&0tP-Y99PB2|m-bT*xPFjxZH~+k28hu*qFgTB_kka|Zqt@5qf(7_KKh*% z8}9k`H~wVF$`#e&q1tp8gEA7AOq$VXO#k}-aDS^+R{_@hAYyd%=&@tZkB^_+x#RJ6 zw-py@w3=te4iyTB@_9@yN=;0Yqzh5^JmNA+YXC0m5|PxVCZ2gll}nwebKiOY%Et3M z4?X+;*3Xomh@4MNi+JFEWh_-4~-r_^YuUem*XdPn-J?JE?Kyy_$@Td}HCS)HU)H{5(nmVuHa&1UM;XtA^0c8Jz2sOIyE z%XW4}8`o~0`O7b>KAiGW3Y`~%usfa|`RX4XeEQ#;2yd+e$yoLjD#5FZ=}6arVSgjv z)hI$upm7O}3A$}MO&Zfibk!wD#tVmdaz6uQYHjwN;?JJ)-cu_!i5GER ziAIfgr0Y@Q9M%4Hm3AqODW7EcJD3T)?p^xM;1sHJ{*`wrWcJ^;d}lxbG|mx6ytJPe zj!=kj9#QANrKMMA)@d^}!Q=!pHIjtG2Wn3|va?Tk;ebCm#*HJKm|)Wo)dE^03aMQg055V3NIR@;fzF6FKt{dE7*RY{5{f;e>Eg$4G#xV<(#HMq2Y(b6T4e(gV> z9XovD*q)bn?MQ8F$5Y>oi^e*_D1Q0noilZGp!11K&U>F%(P&zo=JAsUMd9Jd@q_J7 z6KJ*;bkljn<)U|9tD?Nlx$V7(6Xm_KMkJtk7O%ZFj33$AwKW19s0333g?PN8I7#&o z@hQkIzl%O+U3*Xb#owL%`+O=WuK+#&@OXy>-yi_z(T=Y_kAQ-tj!(&F|0i8KGc;?Y z2|YBT3Q`$xck1+z+jpY zM6n8VW*JzrYU@WIYPS)sqmo7_^$o7sc(?Ps^5Rq7?o?C|rG2?iIiC#<%YXUPfBEp& z|6~6mGH3GyID!Mw8ljtJ%~q?gTIuhvG+OOOtG#H5_uRLYg-J~FhRbwXodfE-x2Mgz z4{^#n$*s3|EtF|?u?_)aM0!gAJ_LgTFQ|;+15{38CWtOnXy%#c{&l?dpoGxiJW2=* z-qUkAJ)%(%YQ)V_S`ba%IO9B`(b6NgQgquiCpmJOBS$!Rf^3?NOGp|#ybIkXOXxK5 zO>76>WcN|do~6@fx`qi^zKkHmXezPqI*KtX1}VoJ93%4#mbhvCqEb=oFu+J5#-@}8 zuY1oYKAR?9gw;3R(>FM5Vh+Euz1f^n0cd4{&@0e_STSe=IMI~)Oq#LrmV3(8fh2Pd zT5WHA8kf9vN@+pmo!)q4y56f`EBBQbFJ8H9*~&_#(&`dLeRpqJp!YoD@|;%h9Wede z_OyWyjZ@x>@3_E4r@R#5$X)>I${uqGx!p5#J*Q(bdiASDGF0K zgUki4d9_yl?`w|LUre%kBPTznpY+1u{FWWw69ojCnGT1}Qi^G`IW|TZ(VE6PBp_?i zJi*?BG^WVM5ZMIjvik^=HOevV2DOtY3kGLVA+1R!kFw_^5AWg7A@&^Of$R8>k25-k zK&imf2YG5gGn1GOw#EJt=UfdFU^CJ&_Kfq~ z9$wkc#92!3{j4lnWBDJu+>W0 zw1!F^+Yi*UKtv#83%ExB$}W2sb9PIz7MxLC}UE~#*H_>xcj@^ZZ{{q z2_%ff7-_bfM^7A%!nk*q7cdXFyiSq#3a`ZpKJreB^U66UJ=Hmj;9Z^D8+$EFpem|31N#;2X~suX_O(Xqah6No_L_f;vHv4DJASW%+w)Hk8^xK z{w%EtDvFm6V-p`q305mkpXK0T_Mc|cFiA?gj`ws=5l5U&L9?VtSYX)*%@pgA z0PESli)9s-^dtE>5r#?>B8K`nI4(0?yz}{)@e0ud0U4-}W@GBu-X}}_=)e^Fs`(`7 z#>}MmHvhaB5TDy;QC=CKTkc=-Bmdn$zxl3DbQ5$$vpIF>z*8PNNs@UE9N6A$O(hu~ zo__jU%~n$s4?pr>X6mgxzik3ejUGO8_H^cZYNB=CdykN$OiWHIz2KJ5BQEF3b++pb zUVD$Yigf|rEAN#K>86}MMIe;wxUiSM@qzd%V>M2mW~fVM5KYtZzSSHXr#VTekcfJd zJtqkwqG<*iFv-5%=#;)0x^?!C5=0axDD0;>iPco=oSWpk`ze?3=U7#tc9iHSQlL9Z z=Ow}}&1veV=!fqfWb6ckXL;l~rVg_xVE-tK#~HCa{50VNd&hZc4;z+K?58tu%nhWvD>r=#9cFRA{Wv?)m5^mtMDa@yLi5!lIg< zI{x%GzZ_R^-l9N6G}_Gh;XaItjhS;#Jo=}FvJp+AIsL+O+i%`{*V5%{@4WZD&pxw# z{M^*$JMSx32f`RJ;^6d|L$B=HxpdjUOf$$I5GXxQ%=a_nhq7a`Ym>~n7v0{)jV~n1quTDJ13rA?yDb7%B(4I!m z5S%~>&f&*U4JHnw$2c|36VEV|p#)CS*Jj&`jGx9qX3-e~1#VePVA0a!i7`|nQpL(5 zPw%BUg(}eW3=|j{;HH&S3zR&I49h}Ng=n+|j|6Cgg@G#fY#=rSA$oZ4_DfHEH7?_E4wu;k4a(;cwP;L$ z6Hq8)n$4+wuY4CpyK6It4z)6@UUyHaZ!ojz(&c4k*s$@QzUpA+@OTsIPRh^x^grIX z@xHa!uj?Nes5NRY?%5fHSnr(6=`7yi!Pa;b;+FL?E>$VIFv(KFU2Cy zog$qkFgOEwdK}RnZ#XhWBSnWegJ>kAs0h3GkS>grsgHAJf^vomNrc_g)N9y~LCubX z)M}JlNJz&MPvg6+G87!mQ^XpVU^GM&#xOvc2&7FGFsQg;n3f|fPzvZ8HubZ4n7Duy zykG*f5JpG`?}#Fz5HH-biXft|!1Y787VQawnA?_NpgTjVdEXiqm0485Yji*{LK`@n z+35fPAOJ~3K~%iLYjV9h2zo@#JYHR3x)oj#g`R*)chBnOeLwZdPd3}=(3k*aKw~Y{ z#j9@n&}TBs=#gg|^|7FcpZ#wBQ@uZNUV7a2f(lH%KDBqxJ_%TV<6V_%e>cg30^ff0 zcMt4;=BcN*k6gELU{U|y{O#vQ#}3CuF;V2a?W^+VfAjf=zxCB~Q)lbVx;B_la-%Jx zJUDP32T>F+IOp?<%Q?hi(_knRnC^0N9|cWE@t$FpC3Fo@fdT|Gs1O~}$Pk68Km(gl zDDuQP&U6?qb5n(m$16G+C``mBZy+?RT}m+`j#)KK+Yv^H0ZkN=2+PX^hD9ZASd7(p zO%yUvA{C6mLa~C0aG(r9j8mW}Mg#$g=Twca?IH*{+eXhGcy79tAqa7T3aHh(4p*v_ z)08OmevbbdF-jR@Vvg?J-fmCHtjC^br@iX^PA-_`qMBDY??t(|NRqPg<_G%v2XsJn zpg*;~f6?%JKk%XFpWit-J%dt-^@|s;x^L@er|Se^&}enG+;L~-q?2T#u-@krk=u#0 z4y8nCHB;x8KJ}SWv6L;$a+o(<7J7TYX9?Q&c&qTe$`lRUniDEXbpyOCnp<_7J;%1hu15v4do{bH8T0iT+=U%t+IzWda`RP)KMznH*2|%2VIJ|#*vo$5AM|+eY$_r6}1N)w+ z)yJb^UtAu#`@T;NELz!YHHL-@YuDd6uxR9CANwcclcyiJ@5B8Ax|^m!$jQ+Iscq>X zzYVB(q~79=Tep--)q2aJg$xsfvzNmH<^`97-p&GNANz7L-6S?%;kEBsZ^WUzQ{G@q zkHGmgnj5r+sr)hbbpRm3q8uziX+LTTax z?LL&oDn5P-)e0TYqCRe1LE;eu24zU$_SK9GkO(&pQVtQt=QdL*lQ@=yn3%Z3x+)FF z(lUh@F%%-4!bB+Dqn`@V1(c!?VWEEr6XS#+q*kMC2}0^Adq#<3bbwQWkX6=6TnY_N z(65fVbDp0!<_fPx(OP}e)RR>T>2np-|p>=#*_+r z>8@sb^1%MT4`afDe(B|>TkU2iX`VcBaL3NSK6GT~GdrH@+U)e$kyg8Y=&a+q=nt9q}j>Syy(x*!1} zEFLC|@rqO^$J~ECZ4U}lMum8VHf&gg4nX6CPKpFQf7L4_q}d{INI)mUdc47QP#UMH z6cIzEz{bU-(sM@8hym3LB8m|vz=Y@+6i%UY8?JgrSeaQ%9#D#jVpc7s>wK}yQ#*D} z)@pGn6fLf2RC{4aAw~xmgyI^}qKxw5yz)7#y?8H#5jxQA4x2yl*}mabnZtSd1_##N zvNg?m^GqFtMWq5}Gv0I)ms|c$*CQ+*x&EU+_CMBJbW_{zy7#^RL&Z|xOuboe)^@(| z-M%W1JodHIXU9!|qj&geMzz|1^NqJD6|7mc>D*MS)|fdldaT*zCx7fGmoHlZ$il3a zdBf#v2sK)Q-o@>5>#f9T<*jl77&1d6o;Bce;yF?&bK`X+zNZ^yf;Rl*W}*U4k(>RI zB}@w{w{-@|OV$FMqEx1&@dlsMJKqOGr%fhcP?3rHs(Cb<(>r(jdj>PW1bB@EIE^-h z0Z!vIU65Jp>NNc&M6q}=L4iVm2}vCLM`t%3ab%2ULKrL2c%_`e1X3!ndYDc|quE;; zOrUFZKKr?cR<2pqPG9YBn>kkFH9>^3Q>PAZ58@)q=btfglz&V_yr6?nv_fH_+&{2t z!`384jLIqPaCw85hjO)W=hly}y8eM?n~gW!U+yo@)X%-V`?1Y;Y_1M0Qijx7lqiET zB3jxBBO?R1-h5{#&AstFf6h#!aeD0N^Tu=GC+|C4TlM+rKDg26@^$49Wc>wiATH(3`frSW{S<3 zCa6H#UGBMOOaCBBD>2&3>?&h0;RSX(7rH~*t;rMn_XbhHi&Fuegu6fV(D2C8H0$)V zG`tf;6NEVLtxNL&$Iq6C>j2R>D3lkiS$9unlf<%S-PTHV@pQem)R+RI~S#1=?U^7o}UNf_T6UhR? zut)FQs(T^z5cF)d*SMrt?uNz++OTM#=Lv@zoqGfUbZOMkQI98Lkcg~POHc09MV#`5 zh#$2}7*vtqaWVOzK&E9m`i>JxxzkVB1TaZ4yK{>1{;?WSI*Cl8iKE zv>qP+My(=Fx#&qC+!K!_HMm;(7H zy(WqXqEL+F%B5GJWKI}Ii!#BS!=JyNd;4NIJ$mx5|LQkSkG`S|&gWff3=XMpeDn8T z+5h-=p7`^@ArWI6ZHwag>4S}SJF%phzy0PdiA4v3Qr(P^W!Lvt`wk!9-)uKTc39RxDlH;RbrzVFX3+D-b5XjtF65E05aio3c-;%pa|1q^UP$!cmGv$_0^tdO`+b(4h(=szuf6vTF1Fst|A3vNb5l zhMVu|8yxH;ww-kDxO;2gzyJm@IgEdX#!9RnaxhmWvVr3bZELMcYf$I z{fk$nHp>%@z4~wi;=Ri6UEon{z3*9XB}y{a zJTiLFMUa0c?+F!AD5cIj*j`};>v7UE>F$C{-y57p1bcxLm*s1UCJ3;KZk`WQ=QD6# zycnDiS4u0_uge^0$sK{Xz{t{Gl->&*0EIRX^pf+bM-1NkuI0uRREne?i&KUh*F*>- zo9@$bVdaJ`rT&35ZJR>j)b8)Jo0$s2c6;iskNj$N$*R;MfmSBeA(+`Tb)G2*d%8p3 zI^yt2f(aw9dm%L9(aJ>9>*Z8<<(;!}neC7L{=~#F9U_KCb86o!+rxs&yw|gpzbH~i zp){~+?R^%af)>r>)R81>sl1v^&#p3pDDtw?VyQT?Y<=eFB&l`ofxACo9sSjTBqNFi z$?q*J&SQ+4nyG#BiKj+Z5B&0{{(C^{1^s-!aB1o79b!61zcK#Azfxtq%H~vYr}B|Z z%6a9Sa-#5Ar%}rT;|o5c+L_dwh=yRc4_qh;afH{1#wwzaOk;D+$>1_XosZ#jKRma? zrI_81|R&{9Qo$r6Blc2QD<+ohT_L5}2SAVJkbfnr{Cx+SdSTE!4@L864 z0qvczbYyc}?6)45H`)kZNS$-S^Lrlm;v4PO(=R-$G|EtK&p5BwuD*GA(RG=fowVh> z_aKsH&U(9SNkw6TAYef`pHEy`dW-UDz4^7Dsm9i6VJ=iw;uTKg>8Wet)crT|p<855 zxX#Uc$I9{nSwBod&wx7(LS*6t-3@rGPTHS#m~E?+3M^RG2M6Q54G& zfkKlA(-~3BM2ja5nL?2iCK6Ogt;?=s#D()-Iz}W6!-(CZ)Dwb8Brv54fAg(fXC`YB zsZ3EF7`SWe1DzBV#3&IhUW@g4ic6!#UYPO1T@YqVuON3WV4nR~MS=C){+?f1y88BI zt2bx1i&9SVU_^=5Itab=X0mxf2OdS9^zPku+fgW2*Wa);$v{cYZ6_$hneii!eCv0L z6_Tvm*B?Fh-QPVkekgMwy->({%H{rbYi=zT!sD`AF>+m!UJ--xu3%hh=UjqHu=-xB5F>LXD}L7ZcA}h8 z&WRD}ugcOvUnt;ajja<uG{)6(a?3)^%eyaG1cX}d$KSDjWJ|G6rtlV5kZqFoToUnYR!8d zvKiA!v7IuwY}LI#`j4h-Y~6Zyxe^r@Ex-N4pPQLFGI`=D9piC5?;zK)VkS;(?{=p0 zpa7M(d8t_$tmkw%nYEc})>Clw53jo8{Xd^(ZKVT;%US0@g`7UIz1^M^rRQ7#FK8oP z_lB0{=Opu#`vz98-P+9%6S!WrxhSIt21hn+dSB*fC%pImUn-Ut1yS+F8}9FBh}NQ2 z*V61X&YU~e?6zJyuv0YaR^O>i)qxHrwKKa9?kty;^)9toCwc8!lCg66no6a*>&2G` z`i2&6UohXe#LjsJ1S>w^1OnAdZkb$K^Lf*V7jaJ8Ogra0s;_1vHR}LdL9|RY)$TJo zjPM#~NWCre@#p{fkN^JpGhLMzdy#<(lmx1$z2zmJs`opM^nAlck~+j^*zy2>@CUzl z^7JtsGfmqn!;!_-gTlvG=6e>w>u?4 zUXa&Q0dn(^XwJ)%U63nOK{v!4FGeq#cMSm(k}J^RAWsamaAiFWPY6^7v@ zz5K2{Tw>?k0P%)T`$8F&Fz~hx_yl%r*i+2!2g=S~!E8D(w;K;K&z~S3TM`_MX9R2HU|Mx97-m+q3 z)xBFDIC$d4Zq~^hiS^EVCv>wkvp%2Gh{B0eMs-p~maK`wQlsrfDeHV84xQ)vmFouj z`!jp-JGw96oxp7C?o3!x2bt}P(XB3bZ+&QRXjPgantF3;_ud_qfu*gjq`S}b?B<)T+ zbwmYifqb-+mVA8~J$I|<>gHTV@CLVp}Q4?UD%N4lHxk@G6 zd-Qwiq(K`4i1;(sK7dg4(i&^WGM0kbujyyok?+K7Q7oqk_^3-we zGI$XQOgClqJr5NZElq9PV^z%f(d}t>N&+w{m)v>@YVls<#XE(?c_r54a9-gc?i*OT zeruW`Mshj8;=Fhj(QF)l<+%(Haa@~!uLuHG0QS$^Y#@B0TwP9A#n$v-TXNo=qGQ8$vANeP(gm&5;n>@39*Q13ZqB(x%U^{7Lj+v%ahQ4xCDfe673$ikcHl-WZ zZJMr6A31eM8|8#fC%N;sElY+6!!TGFkUHPE1Km}2+98F^dZ-RESdwl0#t+@Ap4hJGf=9D-^Go_nkDBmkw5j-Ao`JWkNSy#nT zuETedj>Gkq6_@#09S)_e^8_T_sp(VORh-X|@LrrmOrPGKrBeiWY|b$;p%`(h*H$T! z$`!ISb3Siz%@0k)DRdlSdee?1z-yf=;Zb>Dc-7X_fzfj%I=(IC_fOTw&?lFQ8Rw8Ctp}jH`3wO;ITAdnvA-8s|i*shQJicxVmTfUC9iD-?+Ysnm8b>;Fq z9!j&ka82eY?X*~%*UX{g(xNqYTS&T7)2DZuLXiKmS4hOU6WfzyT0*?2oYf95LD20^ zO`qAWBAhrK$4SQU+O1LFfF(DW&SSeN>+kw>d3fpQ(MOYX8cmW}uf;@&_POqz8+kjc zx|t}9iYkw#Jy`I{``HGPJV)(jN0<3oO1s(IwF4*KX`FYx^+I}-mf7z*!GuiKM!)j# zZ#?_rAMM`%?C{XKyEcESlQ^_Sq-$Be?1ulJy*Gc7G`p_D&b{w_OD?r!RrS7iPxtJY z8O-$bbYln*Bxu00rLY;KEt8_f5P=@bv>hQiEISdHyzkz7?m6dn zyS>HLwb$N#L4ZnB0WlSz60oD7FhJE@g5~w)k1l=WyeH;S1?TbuyN-xq|IWjC-W`?! zAR<~H4k;U}LGNPcpXB-Ut0M!TsvxDLVWb%wQonTdVpSJluOjTHuD1ssOjrzA$Pn)9$VvkDiQ+IH-loZ$39%K8FOxCIn;0N!s-JF!(ek_BxDu`;YrJ zA;H3jzn@R-GLKqp@3CLcd;5Yyfm+lYkg%w5hUIJXA*>>Skx}A{qFB5%uMYXtoZO|`6oAT9cg6Y_GSla`P`=|BH5; zO0~xyeDaA0fBO9OddJpn-seAhEnl&TJ8xi+}*y3ePY z4CYXk>(hIWC0!^gOR;)wK2&{5U>;?)vg?tr=DleJY8g%KIOaP&B?KwO0vh_7C(i*$ z+A~`Yo~Uaio!;z$WAM0f;nZ+r5lO7uVGh3AQi3vbk_y9MU{i)mGb0nLV+SsP%V7># zw>P)v3765%Lr;j6L96b})MuZ5rV8VtoxnaN&&uN}dXtpu8h4r)%(nU8&72H4pMpsd zn-sEfs7Li{EtNo(z&>errvVxgCHf0bJUd-u+ai@a@@|J;&>Sytj4Bp%aw=&cXY#!huJ>+M3=T zv>4O2ouF(@Z@=e>lU0CuXRe`z$+$@tsgibY>)zvHBQPs--cC4y5jW2vyS??e#c6RA zozgZ*W=0gjKP8ZJ!wQcdJvlYAuM#mv&}Ik+N5L#_kWd zo=y@fs<1IK5F6=6v9`Rj_;3H}PoIC~`<;$kAJ$^-)Z1_T+rRvGi~V&2Wl8JoyJwgC zec*0!{>h#@23@Muk=H$Dt(lS;k%EoA6xHO;W9)O{Y{!Go1O*bOjG$H0-KA7YUG(;U zK@H|u$b>=OH#-R(*NVwqM}0P-6fDk-Q;wbombj|yuPw}Tn!}^4`)fB}(wXGiO2If0Nx4vEXBW_CRl6l%fPgh4Jfruh2{0S+!PW#S|S!-yK>oHjk! z2+Yc6q?`g69E2(~lV=_G?d(z`4u&+x{438cu3YdL zII&TsMuecPoNhP%sj5N*IT+>~@^v(;*>x(YoB+V5lP1>Ew&m4L5 z%$0XX^>FL#lur_$av7{QHT%;~{M?|_B=IYQ)!+TW?@e}ntDTN2dF;MN_w3m7xkrxP zvwz>whd(Q(6WuNxoWH9i`NYqi2=54*kCDakuy2qTz~PvHUYiqe*^&QqG3M^W0+ z)p<_yh(FaLF$fI}FFjagMCxEf(V_Sl_H@9?4Hr64Gd96H{a=n3FcmNDHmYEBetL`A@Zhd;Mt(gN^Zu`8Q&Xaq zGAQwgp~Yyps~(jUbGuI@9WRK%+(=N-Fk5Zh#8UiOv0&cE=O~eoDMSehi91ihqz>>Y zc?2!sT-wg7062=B^Ja~01VzZZz3qEWR02-M77L7^7F+9BsOO#Dj@`#g85yxc$)!sx zAD8vO9F%M<`J&q=WaOzL*3`=845NiYDW zKv}<*Hm?2PmESRkGDt1;%3#Hq1bF?USNnq%Vos9e{KBFFySHvDs$f8x7`&VS03ZNK zL_t)oQVRu@+3Bqtqv5D5&tJRrzKWb z$s8NIdRR(;h8h471;y@ro=Ce>!9>ZK%K(t~@GxgWY99TC=i5{FChZ9!gHHydl}qnG zhh!pVZy_Vj;5fRzh)7Uv22rNw2p)A9Ol^JKw`LSjtBh2~QEe{9K=UIYstozm{{0U; zQwD&YM%M&(LWo)pAtUF?8uvc-Yt!5IfBgQx7?szz?|XX3zWZt^z#PfvLKexSQTrh# zvH1r9jslRirrG<=VK~?t?-YUpVBQ$5)KVHZFq_b=2a3orx3MZyqn3^niwb$Y4RVK% z3q4V*bUXY<&;RDN<+JQD3}IzhJoV7eOis*=0+i5gPd#+-aU(E0qh^h9r_G880hsg2 z%+&OHfj!#~O|&NsmZd(=GXnB<`-RglOtdGT`MW29yCwQ3d+wB5OJFy-{oqM4kasak zqkhZ0tLtj!{wI@mJC44|r<|kT|G1QavNNP*u`=kt21=D-j)c^Fx_tdqT`W@KjiE%f z!1>PX?h^qpMle+^%~4cJ`Mfu`_js^oPG+Q(VlY~{^3m5R?Qn*3AAGZ@uE9fK4eczt zf;UjM#cG)v*@l|)W!+!A`6HL(=8Yefbzj+oR2k$_I99^OaD8#{-0lNkEW;2S`K{6Z zl^c~c6UfOeS_@T*soo)zs`HHoA5wr+(ZJ6oJJa**iB0!9o{nSKPfk8Dmzwj}6#7oVH+HL&V%ip`PvY4bk zsJ2_3UE2=KO?BS*@Xh7*zIO?c6E$XE7S+s_xxCd%oCiR6q4Q7j+(}j-`3(NH;v7=e zl`?~~^(zoth4>V17EEKQ32XW#MzO{Br?D)P=ZmpeEr%y zX9yb9Lc@?DgGYaPzO4H(0)v545@1yIZ`_>c6dK)8T#O$h$FY7k2D@xyWEZcz?9<#x zZ_T2eDsIcPN+6%Cjr#AOech)BX7S=^hG7IFC%E-|LnQE18S0=aXoTNQ z4c~aM*eKxA(#L~xBh7I6=GouYv@WBV%~yt%lxM8xfO=PM_^a^Hdb?mzUv(?>u5##?VL_m>l&+{w@0PsX{E z;mtm4h-(Z>sH{ed3s)#jRAB6wyGvJ3i4B>3!_#uHi-ss^)Dk+2VJ09kCSp#~=&2kC z29AR+X;g}yl2izs;>v~R%JLFCD8=QA->j7~TNx*` zF}m=<`(LLNf}l`JaC7m6GOPw*>#k!i?{d=Ky7Tz@pnvW9DT+z;Hp8h#GU-(EEHkf196{u~N(3{WM{4xWR&*Ez$m}D(H|MIgpS1(Y4VGt!KH7{i#0b+;D zK2_q)%3Q|x1-s-6kA0aFHz;dzBtRuW46WA0XOBF3W##=*7*ZTD!0LEM7y(h=LJW6s z=V4LXxn+NEVoIQ5G%82$oPGP!^()sGZ~WztpD*eP#CHeYpTfB_;Z5WK?A&uQpXdk& z^Ds}fhK-F?_(YY}SOYnD0vTY=8U&6Y6o6o1)=C+0ZcyT<9{u&% z>HU?A-%^kYML>BX5Je)eWCigH!@Cm;gR?7q8E6 z6w71eZ_}*mw(LCyz2&yY<6#)phCJ^b-2J)r0ta_LwPSAo;@X8DzWHpPBUn&^nz3^> zHg6Fin7IUJ7T){L=|5Z<^x;6x=XrNf>CyY1?zAVC)>nMu3>a0|wdEdm$&T6m#M!pl z>Bo*dwX{(md*E1_X21D||9n^s)2tN)jC|krL&G9G_3*Ky4?dZvEfw9fWAC0FyYCvU zeG2DJ7UyOrP)g#s)s##elvy2{kqRR?)rvXWd*EbkFz=KhFi>ad=8p)N+x~e0lsS4K zio+pig8ADCu1+fW7iwW3Qvb?-H zFO2r&p8M{9rr2~WV}Mu)$`k-2Hb)J7%`l=GsI)SMK*c~FYr_k#fAB4zPBnuug3nl) zq~VP>f)Htt_OS?bY+BktXt$(b)6Z>{P36~V1GD0xPTln5<-?(`5Oza4Z zAO`X*4y~*+(M`Zq3OemwUwrKEtX6n%--%Y<+bCfGxn#@q?CB3*Eb8L5v#+g<)`!(# zWq5OHBK>&bqhURm?X{K3c{kCXIJo=Zdlx@QQoelS>JLu+V0}0^xc~4}d*Zd%U+H$b zckr|K6LRikczZuNyXCkL;8>kCeoVv~%WqAqa%E*@9sr!*B)17cG~zm)>Di|wdc3d+ z0QfNl1V?p}rdE0@bd8~;0+X>~ z1RgGhHU+clc$zJy5`~|9TlS_go0T{K#O}kZr#Fg%oC|;evMDVV}^!y-sD(f z&fqx0X=lzpHymA{1j?wS+`j$kv`sZ2phyd=O!M~kBS(I%QWRlzY4sIOVsayE78u@E z5>sTnxiOe;n~5}Pu!R8UIid5{=GTgq$?1cSJosy)dc}w;gP_^XAPs$uHtS>^t4Cx! zg|>0~tu%grV%H52GboD8sG-xwS|%3lrUDRqABizUE=l6e6@VSH5B}oQ|6`tS8&n#g z2X`L+dtds;ubz4S%JRi5&1NR2uCJe49^3?>2qI=`V8+T|<&ATvlgu}Baz&aXZ=Qe8 z;1ZtzEP!`ue|`1zhp&#xYI%KC70##5rOPYJuf6p;CcwnNT|LPse(tmz4oS0Jz>UpP znUz_ELaC;3aLuo|>B@#?*4sNtM4|k{TskEu;g<`pGvY8$uTZR!O*?OC9#Ml)9NA7v1)!7#v z(Un}IJOR=i@QIG$G@+&{37gta2O|WKjEmHV0`$KE+21^Q*;jt(ckI|1*!C94g@0IlO;)zCTzxdgQ0K^tP;*cyQl|iT2!} zTwgC?rd(2;qZ38HQo(m;>;YGVK zXPEBoFBAdRn4&R~G><+?h9A0 zaHjWwOIn+plNgE-KDg!3;7D#8M@KFi7;B^D_b$KZeI6{Z^XC@c+d6w701>+HwtElk zKVAsPW3A}FbLq#e7GA&bby%@5p$}f+`i>_nxjTgg7=v<85jWIl4d4MV~vVwWB)`jR(M=ro*&hHb1)(}KN382 z_?hm+wpxpL5d)!Ll{>P;hY2w$4jZQ21Q*&Q<@@FQxQ+23`7y+htL;TY?cQAVs0H%}@ z7kx!bDfZp}KsGTU%*EQqwKrbL(z&yj{)J%VxSO`Rv$Kb4R7$MuL{U|%JGPw2S`ZFG z5O4^!S>0?e;k2mN7MAD9=MfL9q@3#=OY)u|1Zv1+O@M)GQ{h_yc1tG75UquT5?s7F zKd2U6f*Y&zMd%xYIBj}et9*v{ETnH=;l=-MmEDyM?uj-+BF;#t?{@8PO;d zN>*t-s5WX1@yZZbx7B-a&(R{(U`Gsgetk6h*@r(rJF%q*2_#8r}Y)Y)^Vu5GQ8sZvQqR7LM5R$w% zv*n>Q*>?YdUoRAJ>9Ah8x%MLamXHNQEoGBb8I=tC!;j(OXu26$HD;rXV1ay68Cv*7tG^&e>i|3r@p#*YnVQuMqZ~v&3wu7o_Q(`QQmWoiv!_*!M#W7Ano?VBlkV>;d^gy3^#zg$oVIJ?qqnY1*XoC zohNGooEJ7E&O9qfmBc{SUhcmVY`~nlNDE^M1YuHTio8@u>L6r-W`CEmnL{DNm9>k+ z3A?BpO-A*~)#Z6kTa~F#C$`W2oO0dF)ijL4ipJEc<+wPfD9P-04m@=5*oY zMC@C6zP!-~GlbolVBeJ1=-ya6Rojq!ItHRx42r;MW{g_vjbe={ z9XHix#LoGckV`2h+6Nxk_smF|q!;_)mC^Z+ZvJ+XB{dMN8q?jFI33F>EjGzTbc2ZF zAqG1yaV9@juqmU`s#MGK-hmxQ`=hIu`Y*;SK$=?G>M=3S;+7vG^2oE^-fbreff9{- z1hpue0V)ewhCh4jTh~|5HM4Gl5;mvkHePHnz6j81P3_Q zT4dl$JDd2zeLtrJpZbe8&u>&iCDf{&+_7hMXr|8Q&rT_fQ#KAmAjSxTv zL?5M6>etTw&oR{F2(2_Vhe2IGxc67P?cHF!d-a>^)g^LG+Z>vrnkpd6X7+A9UMPqY zjT5X$v;)QbJjUC(Hl$f^-DUv1aeuD%+$77V&|(01W~c z-HTY13EWO+cFi5H0A&)87({C4Gj#}1=~(*Ge4i1>icr~jbhp{kM+V*|am~oB zm)J3Ve2oo*m$IOk02SOKEe;+a?L^^xZ+KD#kvU?^D4 z2dy2oclM44K$r!jAc8lF6E6!u!g&!^Ye1PR3wbiTcgyk8AYf)k%t8R;`uYb`o%?Ex zbsCHcXk}aWZaGmZ%yA4e8B4(g5Crx(w|r_)uY!`$0A0@cL|_UMMiW0wAQnR)6<`=b zAY^H4dDP$Cdvtbkf2p@5*@9aF>|1khiZ9im>rI<5*2dgUkJ;qFY!>ISTB)rJYDQd0 z-D-6n-*MZMRA=_= z!r4JNQoy@(sy(BGO6#SK6?TadfmnbvZB0+ksoAhBFDzUc42Lhjc`D7jE^A{ndh+2% z0fcauaQ=y(JDa@;0CP%?%{RPMb8L>4oC@IDP=YF=)~XSfAsB^VW)6Th_J$T~Y*X44 zS4Z&KCmDQXeQ4skJA20}K#5}{3n3UBdvMcK$F&}{Ax&m>%^WWc24_vZO;KmE`8j6i zBV}x&_Yq$OvH*QMJ49rvjV>U*mSPylpg>S$4Ti4bvdDp5`CR(!(?>asTFme$p zZ>n^nQLwr0uD|t}Us)Lq6W=;>IT&!rF~WLr`mFoda7B)gsKE zoi`x@GkaAelpL7bA525g{>rjXGR`_u*JV-A)XZ=F{=Z&bU-SO1Q|c#v?tF1h0DF$K zb0IB5t)3NR!XmIhYz}~TX?$p zDDg9IT>Iu~d5&C+9Eue5uvv9CHlv%&Q&KcNaDW(N5SA~k&9fV;wFqJc$Ah{$cIcU@ z&W=)Q=Q9D=Ww$fWY%}E&MVcyes&L~_gn~m;*hjheI8krH;NXVVf~Mw|O+qWi>9^6V zvoQv>jRceJ-lMyZ4+Bc6gQ_tbQBW;H8P^z@<1u7NLABLN`o+p0y!L;!^HyzYu!r|P zT87YWO+5eZ4?ep7@f#Q3Xk}e7IG^-~Yp2eh&XZ15o0yUrM#ZRBy>IuuA7B2Eod<}L zG!&yy)l<_mS=QdYbI-#^9;ok%y+4(6=fhip5fmOZ)%ir{;F0^v5RAN<3j(j5g|$}z zJht;UCfc1EMIfcL)tfug-?;wv!ng8dN*KZx2nr_}N(+r=6OkG*C14JK5Y<}8fpZ|J z#Z@6uS;e==Y8cB-!41g-3}P_W>;Bo5dG-jfvDq*ibFdiCEFfYx2snP=nd#2HQiBi_ zCULE~^J~@Oy9?iU2?QF$)d<6YV5GOMfLqynvoeog;kN8Oc2m4nz!PJw?7z40QVl%1 z=c#s<=h?)AJB|+nh?AI~y>DGvyZC><{2VzFvoW+rAU6`7o80x~hkjvUW5c_26fg|c z+2ymN8e-bYyWXdX&jn@Ve5_JyS% z6*^2^8%*L9&JT-?OPAgzLLCYlo37LrGB@|q<$pHY+1YJzg<8M{N1!p(M6d)z4}E?lMf6=(g8*sn7uRq8@$0|WZKW%tjbrv2IiK8IT97*QIJ# zoU}}c%z2lBsX7wL!s3 zIwo?~HAKecZcN%AEX}i{V50GMFa*>!(8^}-*>-#+067JT(Je>BhW&z#&_AVfO2?LI<5oPFIiK3CPJwQf<(s{C)T@mER2SZ5 zswiu#)kY$4Y#=i>kQy0r5bbs6(j*_0!=v|~`0)p?EUhmmX%6BL!t_M%=x2^E-@Fdh zk=eN$H&9{i+O_y^fA@US=T3*W8GtjZrw588%e&nv^H635D_8;?y4`%gNI?`i6($TJ z4o0L|QTHF;{Ky7sNL`Z_TLo0e&urEMWnrjaNZ%f-b!KKe%h03ZNKL_t*fE6+NI#7`ZV zIZ+CLsE(v&g#bv21OW3|z4HFjJaaCDQgLARM4nCu3yLuy4~-0yI0=hB4Ir%6`sMpi zZkgCuiYnYDW`28pF5`)vf|$KgLquct%;|Em{OZ+t?;&J`7Qsx7lEhtDKl9r!{qyP0 z)>=i0&2j4626y`6i_G5p?9jIRuB|T^@#0|R+pmA;#62hW%43r6#%#l z^`UL|w6YF)|MAW9b z3^7u2o)aN!*q{>FImBw#P-&rLMi_>*_xnGFLuo}P-SNcEuMPyDB(4e?)T>8#e|5Tj zV7->Zv!82aQ>BK|D&ttzN)w`y7#lYnplJbWgE74GVeDAg*e9V;fJGUJjkO{XmN%ma z>3f$(Uqr)rus=rBWz1}i!3MaIN-z>b;_$|`QyXD@r7T{!@Isc-pe`QVeyo%Af*G+J zD(1So{m$VS5H+K zkn`FAY0+<$;($zu#26sw(>N_RN`Gq4e>>TlE3{NNqEs2gSSqd?Fquym8i+D>sWH~W z%DJ^u>?uGUWnf z<@2MuEJP|*W~>!!Z(n_*n@>%5dcXL{FMoF5(=S|jX|kQ|n%UpUClXG?D3}fFf}C3! zu5VPM<A~?|t{< z4?n=&@cvZJ9S-lvZ28>wlf8Dk<|@fDVYA3f1*;HAHYnCVTK+2ld#8?7k@dw0M&3Ff z_fHK%pM9c?0GP2V1*_vKKLwXsPiOm&?K(M77<`gUUmv~ad>)`O)}JU*ZPk&PpUTqd z+Mvb?gTs2=f4~2Nb9u1F5aza~nvJ4uD~Xw7e6%uO=xC|D_Wb2%vjk#VS~=6+|M~qV z*8>{fOWX|vD517W%&fTuNN{g1^wQA$h-A_&AJtbVgaJAePgKlG=tfjff`%$&F{7$;cx!2%w4QLCLI;GX=n^BxoW>j* zi){nMBul3L?Ba7tWzOZH$=*>q#sW1^e@TXU0XyeDGqEs^wIURgN>5GFg6%5q!z#tF*DcoaR2o7i*%HbpTwf5h?y0CC(KXX4h=dVR^ZgIflOq{~8dNL*jtC9+{u&DrG=OX7k4y6^& z#E8fzsW1YOk*h>9?i`stF#dSLEEC!4NK3>1tK=No}1X`W1e@6vM%R2haLK5km^V3CV%BPpz5t|&%WFr zu6ma$2=E3J#h}-nOUO%IRiokD^fo4U8)v!X)T?+b8yFA3yR2ScECCYxt+p(A$)uaB8lWddltmshuYW1|u(E zQR})b`zN2OfRU7-{LI=*16gjYQ4DHov^nc$x%GI{#i4OK2_VOLbSaZaHE%WwaG`Qf z??fw^Ew!$-R^q0+ZkBj)p;fTvrVs)+Sqr28;NZ!*_TEy82&%V|-k+R*_S$gGyS!5A zq*M2AeS9b&^0#ar05pQiTa47(%Xq-ms%oSFkq@e3P&jMCo&pezf?1lj_s`uMEBQ^e z2~$GkK~9+zOq8rugI{>~Ki@IAd!(f(oJ(qviG1>Z_>cdmBxwmW@okWYGIKI2Hm2Lt zpE>l|ty6P*=l0#Z_rd%3KF~@#Z(Y0a(8I?bJ@|kV0sEDemABr1+iltYr+@P8zx<2u zfBB0)i@V|dshm6i7K??1I$zv*a!9C5jvTtDJuy+k6i$UjkXh&K+KT}4q>Wgd6U11w zaXa_TDo+xy8AD-gg<{W^hbCJ)-dXz5M(Cq~))}`rr%p`B`2YwpK+*Zo#uS&x1KFG> z>n3G2;@+mr`9g8=yH}pe{B&&+e*tOu+q_8>jkR|G9H$0? zxp!C>&93i8B}QV1d{t4)eEUz{`_A=^8|{2zBJZV1{@r(eu)MK$arNp(F=BWz7zjqg z!Rpb&Pbs+z%h%qy@b0-tl;ssskl_b@|39RB&F6#5e@Btn*?2^iW4%+W8wZ?b(t>!X!qH zI!mK#&o6wll}yzQbBoQqDL_OX3maEPp=`O_@g@xB%t%NAl)3e0|9cP3ez`C;crl9} z=3-P^)lkqt0p?u$gVj@OVVMZE)&VL2$Qd{o3a!S3@Nu-nxN0zP(`Yr(%(S_(Dv*qW zpPIoKxkAEnwTebPvWcR@;Npz{Vu_`MAzD5Gu2R`Obtv&|@7wbipP$I5J6W$*Q!v;S zVml}H{qln+my7jwI`zTL4_1mb=2XGPY~%Y&iOe|m?cQa(XZAXmX0Elrcc7Fo-JR{1 zYyaxS-}gR=OB8C+$#(C_BPTw-aXxkF>RLa^CxhYI^1|z9-Z6lae0^2zK`&7$D&BrYtYvoEM3UedXx|ty{!8?}+n8F1U=D3%KiM%qH(;LObKfnIX zJZV*?1`bf?T5t8|m+Qq&nt%7|a|`7K$E`THj!d{{6f-8JI3pfUyqb<(Ef&ez^1VO4 z@a(nGMemYeRGT`Fcb8AERV%~^8Y3G1_p&!w;nXl(IBpa$u;a7_(xm<4^MAEG>N`$1N$hb@PtHYF%xbyuOOO51)wQe3qm}h? zbn5&o9$bcsoS$sXsF4^&iP%P2e7tx`MR!l{jN>slUn`ae8{`sGkju&{tgQ6mJiwu< zWo7kOfAMdZ!(wH1^-h1>ep1d~m+&r3gM&JyByKVwF{j27H21R(UNitOXX>CJVUE6p z&O9-zLm&&Vgt{NCy)={+Fq9oQDWrU8=6EMV1*tSlr8~c{>+h{=2_!%gL2}3K57u5> zk!5fqz-m3L2}-195ztCq7Ij^P)`3(h-aav1#~Ro%=K>mt)CwU6BbZfIS)=!Y!VIp^ zx&{?N~cx~~8e$_Wh>SktUvN2Bu!l+K+GZz2Zp zNbkf%j*4nwQ;reNYA#gTZ68dzO9mo><4pie5LXIHVHyZRRs#;L*Ka<5qgt}Y*TvVN zzIXC?E1fR1%zf|AuYGH|y3X8C(2Y<7p4;!Nyg1SUQCdj-@a#`@(q3hO$m^IcX2e27 zNR(KNbQ~lBR%kif-uLCbUt25}9+~?>H=QlD_Aa?Ly7d2EdbX7$wMl6D;l}@{$@xG4 za@PSlc8L*!VIrMj^0hU^IpB2s;3b@%Wq97ep3_z}|%3f<$U`41?mru8PMto-Z;(D<`B6Xh+NXBz{2R?+0QXNG@8%N~SL#e1 z39~VYfUUK%@aF3Jg%37PJ7fb3#wJ8UET9zalsXUfp6q6*PzTdiGIyZ&*$1Z|?`FQV z+Q4d5Y0=n;lB=X1(@4qC0A?dC_q31vhr6Fym-X7@@Z|B#Pl3{R*Irx=D@18+G!Tt0 zO93!)wbn7x9JeA;?YT7+{D17dX|r9|aV5AibMJHR^zOZH!1OSZ00(gW=7N&|mrwbaeG#%XWE^T`rgH4!d1p+15~|#6coBi6SWy05O9A z-f-`IcRJ_no!KAuxuD$N8$yJTfnb2-doM`DT{|;Zu3UNl^3yH9QXzsR7-m`;Nd!ki zVdc%Vyr8v72_QEpM?ZD+H}`ao>{M7^I@a)=((Jp6^P64{6p@aKG9XF}7EOzBhheATI+-*#W`nO?dOmlpN>wE9=PPDxiCQ-@76TK>JXDYDKmN$xM|0N< zre5;H{lm(` z+VHKz%f|-uQG_Ydp=B~~G-C1>Cs)66=DERq;v@&;<;!nmu0fKI=F_Xg8ZiMqDzBwwhW~M^0 znP~}CB7=rwhK_jExY93N6#da`I6%aBZkoi&d$#*!C-rEPrIGbHF8K z3*a5+5ww_K(?U{OU*|JWX`$^`9$fy&OiSWy6sA9R_%my*gQdl2l)28q?y*v15~3NA zL1N1DL}qN{ytI=kvemp7TQZzkAlz!bw-}@{TgsOo*>z$X%G|XtkKX^!Z~pmG-Wylb zUcQ=1qf#9e(|&U`cWnh9-*dd*Tuohi8uAm#%!0xhaZvNF`HhROZqG)QBB(i+4~pq4 z7vIRTwvm)owXt;#E;A#Gk+K#@h(zawMrD#1bhtAj2*|ga?W6055MpR|P+{t@| zs+p)#Wo5c&qLxks5R#8KF^oY}m>uaoUO~Sze#tuyFf*}wNwZGxrE15m-QRn9rUk)K zLSsGa`k)!U$!zO)2}M)ROlesSj&map2Ig$59DZ~2l}uXoJ%fZ&W?Lx96co(Tac?ZL z^nyIE%^m?~3u#&sqDGi4gs78Hbn}%zeEpAe*KB9KUSs9S^{4kN?aO_$>D#~a>K~oG zaW>;d;>?L$5#tjFj&~dVGHN=dlDl>h^~pnz^%}jo1(MA97D39g>b3e$-1`KoGIM#q zy%M8=T`a2;_nzptm$eLOdCsB|%V0D*_3hWeZfj%nrBkQSS?aGW1Hc{f{FcOR0q-PW z@{$!)wcD-x?>aiSXyjBWD#wVHwci-N0DzbxTgu+5_5C5o?(FpRSSLo}sC{*Q>2I$7 zZYN(Z5h57sqSDwj5=A32Ki4V}hUNCz@jFLa4=X5aA6WC@A0U~4y7&HoSBrJ(7O)tJ zfz=o+iR4!>*b%ujIeYH?Z*0!CR~oxMcHraxeCfGCG4`&xH5+~6=qHz3YeftoF<51K z`o@K6RT7C2GrJJXNq*+WrCF#tc{fCjQOmMeZLbwk-@S1O@D1NQx^@UL0u0GcU%D`z z&B0Ei_Li2tc#9_Dp>+JAM|-_xX?M_S-*eYp0O$_9Z%N!1@J>NY=A?an z{=PWg)m+~wHq_9SUYY~Lgop!Rkjkn6jl_U}BrHZ~wPOTG6Pp$1$wVbhunVmeR9F@2 z%`H-J@^7`9@J4-yz{nLAgBc(OiHm8OSsH_-l{ElNBwBL;^QWJ5zF;N5i-*vaJ2FBOeKdc~!9Usv750Wns|O(Z=rH?w^0` zM*z34x9{Nt4%_`OCOT7cm7&Q?4|boL=*)BL`uzGt z<8r>QTxd}vK$H!_=vzDAV9pxS`@gUJ;g#Z&qh3mAfnWrx{_R_q(^ZuW``4|1>S|{rTv$}3)PNVOwV(0m*FL`N1vtZWAd;j?6 zU;V_rKYa4QQ|sNm4=mq*Ww0?R=Kz;xYn?qmc;BZc#kAjA?Kb;Y1~>lT#lQXVfrqN7 z=eMrCe(|mC>6m?sj3Ay|BNheN0SuReM3vwhFTeH1U^GIAd85V7Z*6VCXaDgp|9V=K zAN_$(0H8ag?^_bL#h)@0130;Sve)QU9N5sf%&9y8Mv{sd?aP#W?@?T&5!-i{Bszg#+?D&-j`cKZasw@UrfX!oRkXgMemTZQT zt3=A)q_sc=2B}onJ4d{0MI$Uc%#A)E?Gv+H#Oje;NDH|J}WJFib+x z@}0xWM@m&djKoM3>^R?^4ZeQq+1$0G!AJrOt=(V0^vX(e`R5+`sn;*RetPp9vtMql zssdnBMpYbJy{nnE%BoV(OYgpPeYCMt&YbTg_eBk6(UzLs6UQDySurH-nE=d2kZg5j z*U>{qf9?l9d1%*OL?dxAL=1-CdG{>tShd`$_@3K201IWydB3Dm`KFtq#7Ja$ zGh3l}gOZ0418k8wn!v;&s#t(2u%&elnA2!MVq^rc!BrtBk|>?b#EdoVT|-dYkCKP$ z`c8tDAom=DCE+JWqF|V5(csotXD2z@_v{B`k&*4kH5`A3_Cx&?{oVa zM}}dvuW{E;?*Gh0XFFm1&CzpSnhGj4?r!aWY}d#B;l_V!$WlzRdhjfk)q*6CplC$o zx2nOZ!8g3Lg2CWQ4XMpe&`rN_(zJRsm6~l$efZ5WH~=BHU5tu)ibjDDRF|{0Cw4zI zi&fo(Q@t)q*KD7XoP*i3lVkFU^Mi7@k-gX_K42oPDM|*dF?eZ&wVl3n> znxXz0us=I`e_RzHKPjs-n^)kQ;+mEYvIgsQmNVZnh$?}zGaFYYRY2B;)@4F6tY|k{ zckf!qtQ=3LVLChUz{A~U2UQ%5#@~ALt?|zItN-+sR-+a5j;!U@#cc%d9``=F^7NR- zB&?2=I7IW!?yjQ`g;|-K(-;8!?E0?^bO`a07;swZpcs5)`D8C!o+Btkm6s@=Xqn}$ z`&K_x#0X{24xFIH3<=QEv@QL=y!wS3)pempLUmjq)@tnvCzoP1&bR^cMD;i-m=#(n z)ur`9TMDzqxf1Xs7SF7GbU9nA>YJqe-SA#i;9{UmTF>72`c^R#&f{WB91zrFaIPid z^;sn^l$$Y;n}+J-z9*OScDx70_$TlF^#0C%7{+Ds*5=u6-ZO|u)@!V& z)@v;wzd73}Vg&gj#?4|3c50kD*$)FeW3ZrseSJ z`^=e(Ohy2lWPzg+8OgMoJ-PR7b~up$03ZNKL_t(j|LMjbuen`ojlFZL)L1N^Rr8Oo zJd<u2YwN$YuW@*+^NicC?z}Rw8OYBq>~ZVAxc=+gafgwm?42B)6y~^j zd4BnK&i!v~-`}bRzjEN;^#IO5F~8jEYum39WlY({`IRqR`Qx_qgVyJ}%y{v=6hVNS z#^S{C(|x~MXjCFt4e8Wi*V&uI$OVJAjL3i2zXD8xX}xfkHr2pn?&egCC7Wq@eAgr0 ztglAHa`ub&{^WXReHOzkR0p~T&knAf9b5+IOIi1!JrB%dj7DwWIl6MUsU}e>SR7>_ zff11tI6y3#mX)%=w@$tH?d{3V^3s}<%nV?kz8Dc80XTg<;AX*2U%m|SP#SFxGIsau zKZJRqrRL2RjG%T0-M2Ds7kH;owwaX=9X#CWv`d4rs|uYC$7Ie&iLnA;gvgdyaYlZ9 zczI_ws%bfbkqed1%K3-8CmXJFqu9>4H7JH}j9<^BS(yU<{Pg?}t^Md&XMh*WJmrZ+ zr-i2yOble?#$og4>IDeOmi!Lhp zn;DxH{WjEYVxDeR@3s2Hz}#5LR-)O>jWGqKRqDFmPKvjB-&-QsNd^c(8m=`BKd>9;g#P7P&*kjBADQJrXvIsa*-@D6`?w|`|*xnuCz+_{Y?3G zF?eq4Suf2Bh=vYzb8c2??qwW-Km@oVRsp6YYE)VUa&rxj_nz$demVohoEH{OI`G8XV39H4>nyZcWp>3sx3GB zPBJI~Vpg~M27>XpEKU#JC(fYM$(J6x`}jBCeQh+KvgD@dHG9tGsP8S&2MA|@xH?N$ zky!vavTt8^ZSC`a{#TbTzn`5gl{*DR6H(%593znm%1~8v07@1} z6THosk%>BkRU9KgoLw7Uofk#&Fbp6y7R%b)NgJaI(TKDMY7nRxP?>e4|EE{KaB+UQ z<$9N9SN`+Cp?pU?l z%D7$rtc4taU)%Sq`&$PJDa}iAJBmgYC6?7aYftq38h}i42uDJMsEH{fvOv7#70lp^ zqB=J||0h@fxb3?oVvRJlNK{|^RNoTr7aR#`kC}NcqCR`WHOi68S9}wm+ zDO9^|`O*I4(^zKQ1W7QABv^7^1Srd; zRj;5ex^dKs)SK95TFk(lWM94b@-&oZhgaX)Ja?eGe-;8EJqLas;xtsJuD!iIpMV@m z^P5-R8q6nP4-+Fn`ccu2E+1@X9l*t+dgSm!yV@%q*MuSlTWWPr+;{xcTd$2KQ&0wl z%}d9w*XhB4RIW#BH`~V!9K>XbXwqn+iXS?11ZDNvU;c%5yA{G6^86OX?E>%AkY$`f zD6*=MF{h=G7+HdB4lbY!J39WQeZRD?xqoVf5t|9AD?+i)?fdNduW#yr$TR0qE@F|c zjwBo=rCQRELDTjn8Jua|9+#@CZuc|G9~ z*?nZ!UIZmb;@d`(*{N4vJ8|Mfx7CjGk{iu$zxf?(Y`2?D0CzmjZ&}OtPA>iawLe(R*5(MwU654BxTF{<;n~4ZLL+fX z_z_wgC&PhQiAuA+tUl3wa-tL1Eovs4rI+r_j{gApk)Wr)^m^gg`)$h54O zJdD9kjZ`6=_u4DzxJj?oJHG#Zm=K8=^*bxWol#I?-_)SQzN+GIXAF{bEfDO_ZEjuJ z+J5cbcj0nN$5Vmb@{-*KCa6_wyf8~$ zI@rlpcZ%T)qi-}g4;)hGY$j%6k%~CC+Cfzeg2-axC97p&#%$sxPk&1)OfG_$@t!RL8fD!pYHTlf?XV&wB26<`t+Ey45T$4M=Z@ADaCNrzSLeT+yH3=^btML#DwuHI z&sWuW!LCV?xHWwnBwiXXUVnS5oG|%9)o})hlKj!9{+E5NT~M2baAxPan5$w0c@P^U z8nu1vSnog#5uEK5#YQz}*HFm*{@x=i`(OgFu*Q_AQOu3*J5Me`Mw9F-;({xDyJZ=8X$)U^d^W z#?Ng%OW<^7Y*xh(2__QRsVG?>)JZaggZ|)W*FUorM}oYFaj)O|EBk(NE9`h`j;hJC zgXf4GQ?^-+{&C}FfulScO4B9R|NNCdy->U#jh|kA zvX`w?s;EgmsrSayEhM-IkSu|+G{GWrj&mWmQH*XBqt71u#cDoexa80R6M_qdNi40d zsGU(-N8!q>E$yRAcSDWRXgW=^#HGSsjdE!M;y7E*`;{Vf2VZ#iOOv7mo`d)v;zQ5u&f_Jb8;K|@@!eSNmlPjNI^8HekOlr#%V>60G5!9p(kxOFr#LUfO6@gi-j`ts1^1U*~ zxt1BXUK+fy6?TNOMKY(w(LzzkJnDhg{$D)uZwBSWbJmbH5lgn}xe<(FJ#2UBIDw^_ zA8ujBL`;5Ij8AR6&eE#+_OwZ2H|h7qIL){2UOrT+ri#+hqw&c@4) zl}@%~s!;*)AWyDkN&vHOpF01}WL}KR;?#TJp=M7N5I>yFPQCXIajxmQVoj1Srec+1 zR&}%d(fjUeG#bZ0^gttTuu&euv4aOt1)I#Q3gE1_x&q+N0is(Lw-LNkM2>;u)Png` zoUrR)GT#7T_5ffRf>zFA8Bw+@Hg3bNBPCs^*^}u*SoXb zU)}uXX1OEqg@szgn)2IXMosX-UX9Xyr9#8qox7T@llH@Js!CF!j+#hErJAU9z%#eg zD*#CP|H`ECU6NR4_FKjD#cSVbxMopRYUJ`B)uJ>3I4a&AU4LNry{OP_bfW?>P3Z{` z7?Ep&9I>aYX^F&V2XgEhrl?U(F5O##5h`sr+pFFFed`C`I(I1=zj^V>(S7T8A2{&X zgAbhg#w&>SiBEiVdASeg?mlu50P!7o-=etf;BBBzD}QwV!Kp%J7P=DzFYkq@R=4pI6l8Q`Jp9(xbIXn8C-&T9Wu!%okfzpYR+r8x zI=Ei5o4l7b0!By%RaPhP%;kBbb!^W*BKh|DOXXx1oqCO z9KQ0(8@S`dbPMCQg0}&vFdN0`xye8>7eT75flUdKy4HMg_$mM*3Iq~oBq8Xs_5SyZ zf4JT}yt}b)Zo%LzQVVS&2|y$<6N;=rWtMUKrR%Tml;e6`)Px}slu`5i?CNh{{nL)? z1w*OHiCco&IL?FFvRiw4<)hPBviSvbo^Y5tVOOf|gt-~dH5$ZoX2dYA+3z%;0}MoI zw?q}(R&usb!X|xaZD0xqaLcuhbPoiLu$$%j2QF&_ahw5^+?{5jGLmQ%jD-BVqZ`v0 z0GCOF;SjCg=ze(r1GBQ4&F7WU`_r8?GBgO-L75^00`X)FMLTO9+kF6Kq(vE03s;n2 zf?3&=?4iSVz4qqYn}hLWTEKZI0YuIA)?oCdzyIoqCy)1b@BY$%{qmLXob4XE_ka9% zY1#X>FcJBFh}#I>$&%@#D^Iq4`&v1IxLVnwq>(A2gU<6?&jaw1MM5MFN<#TczH56v zcxvgfp6kz{i5u4dq%#JnyKzf~Ek+G)bK2shl(MKk>#a18RRwgV_j_0V>fHQ&qHNi% zJhS}dFw9o`>eIdB6Rp@>(vTN1CaH;mOVzb(?UTDdHjANBO^PlB!IC(OR`fyU}a9A{fE3_A?i!lv6u>X%vl2K`EE^o~1)v!C-+*>p{1WN)@L8 zF{NlyiLj8?Ih3PXFbf8li<+)^3Cu;~0KnWlgkY%5`qKMw|KX_yGO5RG%5#lN(*48B ze|vs*J*P%AN;#0o&0;vQ{BXzhgIQ9=SYK2v4(vltIA?foAf z{?Vc=z)9IcfRs_UXH#acWd&n)jhj+)f}o5i4&K*mE+HBvdYl})2BP&^OD-*n7*WdJ zlAXSGV>&C4H8mK~VmWkHSQ)IS2n54$dt~!+a((lSH{bpE$De60Eq!l4W8ZIa8^Jq; znnu>>ppv$uS(P=i82cy`6+qBgpeiyGXXDxUor`bg)BsEwfk;i*{5+H=`%m|>)e=S~ z8q%>!QDZY9V`ks+OHoPSYA16gPdUh$F~b>gdK#i(adwX)n9X_44Kj~08UUxpj`ADD z@Qc@;>$%?P>HCvV)pIfxH8GNgTiPn8FK&Ma$b#BdIezKJYi;Q`PP9BP@;obu>N?z^ z_EfA_Q$9%DVBu_YKKa^}H*?oitBy!(H?{P*Vu8{ixekzA{A z{J?{~MmIzw&e1uRr?W{WhGWUHP@$YyavK&%|rzKk@OCxO0B!*2ZlIZy|;tim8w?D{)lT zNT`gJGj#@>>_5@Xdj&-`X7d@hD1tzdEfN_-)KALd!%Gh~xINL(mZjHs&P2oq+xL#* zyh-gBhHp%*+~3?I$X^(JYa@;_ZVs#2XV-sfe`DV)MpM4IQkIO(Va$+O33d+}Y{-&uzT{YO9H{gS1U4eS1=* zCcR2DSQQpXX2U{5^8YE$jfuT?EoCR1Z_X#rUVR&`S*i+WTjl)O3vY|$rc{M!N?^aZ zd61nM+?bRBH#k4%}!2P{e2PE%Zxv@E%ymj`{V<#T%w%XX4!U!Y)CW9>iccx;uGHyF~n`w!O zI;!0i!7&nB)@<~%=qBalE5nyQ(f@EK>lCDldZmN{6|E|j|7#mBUN5(WGF8ryCwVrTPhByY0L0|Cs>ySs zH!^NQ*;AIt?c~jP({el-l9yZCb$|cT7thabfSRSkz@9UL&s+dnASk@<@9xVCcUn&asfJCDwr14a)he8yAGLTpCP{$ct-q^RAZ+a0CbP3*%Hb zoK8Ym*g4!R`^hB=pzRw+SN9+o8E52v`2L6UyajTm1d`L&HYf86;s-m^mtK0!XZh0d z@|zc~jwf?~MuVNn&a52IPM^O*;0GRmXyf9wFaGV9+6V4^?ai|ro7>LaF;TpAaofSW zE8VA89-Ckekz|J)1B6>k{rx`5s2DxB{StvIib+7O#tk$sO|Sjl`+u{RFBedQKwSuC zhV%1{ajXaqQOGy|M7~tr>(@WJ@{s_8v8N?3%?N^u8I*Vy@0h%B7{cI9Fn@ky{k|wqaIscZ@CtX ztO|$*<&^e8S^Z3~htgt^laoeeINW*p!Z}E8Wt^Z z^x%50e`Iy7DkG(}(8Tt+Y`i%X!``)3L`9aZ_PS3Ve`q?Hey=}Y-(PWi!8-}pp6Wg@ zrIMUe;Rsf^*>JRR?rqNvAH`D>fQluvRE4m*sx0@q%Ue1PC;JqX4dqaWo$Tu95dV z`{e5cO{1xLHU`d&+``czsaa;vU44gJ%m2q4Upcq4?(MhxAdfN}zx&=^tBXb(O1;*S6OUjZhe(cOsnr%d z?QgyQoktHHXgQBcQPqzh<&Ev(_=Qv7&bl2CZ*L7>_~z@)R`YxP5&Qm%+Y8>QF;lhz zp%R#5Re*e>z0Al($wi7902otbs(p^5Ad)w6;_5vx6GVnW#Aza>BD3){h6i@t)A8L{ zS-Rk9a1??AM=(^Gk+B3eGbcYS?la~Ps=yZ!R(?+mwhT;Aw)yQm_{U^`PL znO?g7t3UfAM-Cm>xq9KbuYReKXW#1&*!Nf5Ztyk$Gp!!ky|>$Hm5it)jn>lMy|Ij9 z&P8%Y07{)IBpRy;scJcF zg~kRopC7)p5yol3E3wd~T-$w{sFCN!`Pt_0T=`;GmZAa>HOVL=2;ehrsNK|@i5WwA z$uC_ihyU~2|LMzD-b4uh{{El6Yw6%5RG|F)bnEjMzS{O3jY#sC^j~X{oHXCsIDezq zS!whiSh*in6l4oJ-K7>9tbCBvb}v`25uAbHnirU_=7@;c$BD?e~y145Foy5lGs3 z)tI<0QD{kOVqlFlj{$J1 zRB23Wo3j`XHTHh)z>f^7g2~rkY-v$MqS8EsNeGbE3)kP;DyGaH#%4T@L9uuaQb(P> z%LK0B$nV7J^mG%H#j2|H-Vl(hLQsQ|m{Ep|RG@&#_Iy@`*zxVVm-itW!3jJn{keOe z_=)?TX!xc^W7mB7;<@2$UKi@dh}LT^9Y1&!rBWJklLH(jZRZackoCg0~O@ z_^tI%wOxCNG8#3y?V~5|2|?JHBQ06;Ynv|v_-OyZF)ENV6DV_(DuzHBIZ@3{gSDyo zMECxQ20$8Uyf}PkM@z?fs?`CCAch~zN2LZMXU0HV;d0I|%r<`e>KB*XQdFoyP0WO9 z(;^{rL9HX52bPY`qf%m|;WExXNZoahKt&8NfjI+ z`TdR1z*x&bveT&C2qu=uHVBNYh=_(rrZsC&YuA!5 z?7VvXq5Hkd-o9`h~fJk;1@iliz_Yow@?Hp8S+>-ql4wFj#ZIQ7g*NE_fumlkoPv$rWtXf0o@xi?)< zXf?0yK-rn$#!gu|X|6W92;u2nNBemP3Pj4tkrK@Jvrf{SnbM)&o?kw80%Z_*m{gT+ z4|l3E_L|+}2k)^WPRn^(qb&%x9&rdi1 zuPgtwBukY7>N3vNh*S(h1Tb729sda;ns)R2NBiPR^ftl z&x9K3Krhsm-O^Lb#}L9zr8<=AB3y~N@#6NS?P3n|sW{I#r$mz1V=zkVGz2%CO=Gl< zZ{OYB3kB5&fC!PG6eBX%INIBbN)Z6gF6@l{`0ZEWTTvGV49b9;l;OJlVak=avv*iw!U}fSb<^&Tdz8hD3u_DNp~H>cvlw9lO(J$)xREBx zO%x0jGj4E$AW#{N~0bxW-IlR6=AZtHPO- zv-!){E&#skT28WHGz$uHA1L0GpcH})Co`CX5|Z%^`!gF`lX*ZeEh8cj;n?>&-QjQ? zs_4Y`T5SZ>*}hVc8Y5A7001BWNklt~o$Fd%iiW zf{TDD)$ErEOo%*j#=iaecV9ZcGZ1(La7yDULJbt*p5=WV*Gxx+(nP)Pu>*Q}+i?as zD1m*d?EyC&&q5J;&CZFtj-m)qYWw`yuHC70Z)c68d-kAGC>*<9yNyVQh7e(F!`WKD z_smBg+tcr_udVJ|TYdb2qkC5S?M{=7{L<3qwHx1g>-lE0@xA_Jec#1x2k&6k;pT6w zKeJ797N?Rf86e~4`SahVd3n7U{)a1H{`A`8?W|Rpr3{JW%mxa{ZbgM6lTv9KiE4NU z1T!Tz2qHOi1|f5^Dm>NuP~Uf}2q>$;AgY`pBa*sa1;g0E83|M>rJV9}v+dux{I#yM z4LOjhqKJ{;iWt=> zMuKlXfBD?@bPjVN7&w3F@`b^42Fff=xzoF#j9|yQD{a?61cKE=@A?v;1G(uuoW8N0 zUY6m`{M0+=AvsDN4kjFY3?^gj%pZRE-fp`k#P7a#`rNr|@4kL| zYiozW_uhS2M*}U(X1fD)%GPxaGRXqS%uy5sG{qZ95|ENIQSEAmSnE&8T&(ou($SvlR0@g=Fq0pa z<>&VOtNr;J%)Kyp??yEPx1Srlw;ARzpHs$g8mWj1xESk&(5T##&hK1&{@iq!Q4YB6 zvUqNA0mxO8QmxjOFLLq%>YDr;Tv}4Ejaj7e{O0AY*&KGWz;-ts9RODo+P?Mtxp%jx zQ;;KN6yd{rj`V#SM(IRBdYeoMoSnLSbvP@Wz|A{ErtB>n z&&MwezLUGW0>QXp`MJr~L+t~{TKmQty=BAs?Ag&7&$%iik}7Ja2W3^Oulz+SRMT)M zgEMeOjpsMtxlv9!(tdvP-C;FH6@GpFnS+hBdDQ=xy*G`rE4$9azP-=6_r9@SO_v ztSE^Ti6Ys}Nj;;`?14R@3S$ANLQQXe_ug~P-uZEEp__v&Gm=_Gs`q-UR#oAlx*ApA z+tc@bn<5ugcediffGI-|iDyjGZZUaka~X&udPaCVq^oV2DiN$!a*>#;S=1z^_SDTw z-N^(<;*;MZrNSW4&I8ODObud42xQN%-5gEIs3Cc4$0h_PY%+K>Ia!$B3l|9S6f2_) zr;gv=skb1+N>B?ya0;d6weF}05Wp$af-ENqoHU!wPNT7KaPMGsD|q2%Lp@~TvKNRbS2U zBtZ);1xCdrn)dV2V)sJL)}12JbZatN>|Lyg-+@_KscOq1B%qA4%j51Ru0P$jEl6c} z2w|pr)JqX+N*2lqB@A2PSxwbiYrKDAAa(~-3?yvo2vl*=WoIO=vB5S93#VFmLQ*yA zfuJ^?=_XT)-yUw2**j+psgxueC^pGpV3ybHGWh$y( zG0gA1=Xk4L^SyD%ok`NiKmXLm`nE62*y6t%?{Mr=czfu@a>%I(%+9F~3}I5X!dBoz z0N_}KSjecd1Zq<4N9OK(Z|CSlgkUu=#289riZ*JC(*NwiA3R)}9feTS?5XbM4WAoI zcc8v=5y6M3@hC$GN)RKV;+blIR3kBpRYRr05=KQ++rhc+s5m9AvbiFn-73hX%HCdd zCTTx>@NVw~oM`oAR<)5BhX6=5rHi}q%J$F`2_wXz8pG*)t^L^XyWtgLI%&hIfij1< z+@@wyTbSDquUZO}$)pIV#)KXyrPm+(+;tkw)5nh@_Yi8O*@=B|x<<_;J+Xf;a&O3} z7bMBz*Dm(@IoIl>q@yAsleSwkt;W7Rv-iF4j#@2Cm~7H8CaKpOfV{i$e&=J?!8;Py z{)@fuYb3Qifmdoyx5(PyK|=5}OSNCQ{@nF2YNz!)z=Jg|#`1hC?|%hK4x6F~y{+N7SFgY(VdUThfyi2dQ7^hZe&B&S4(y%1>-gcP zpI=&C?VUe=hf$vkA7y{i(ZdpKi6l2IKPdy(c>D7D|CY$K_PB@!m6cy|H}r#*OYvFI)(P8}`P< zApiQ;mhL!l+xP$A4=ufM<+pz0^FVDQ=)B~oS4 zOrtYVpcIy(l0k`d3&Y#hq$U8~vhU2QEUDy{Q_A@c}bg`{DToxIh(M%-q6x zY=+e%6b@u7m8BY(?CG^@TjL2}l`P$wpS{h_p<=3fK+RHb(9hjeQd^ka8_8SKW0ke zeF6?^Eya*p>2Q_kWmMcjT#z)aG7WbP=uQbduMx8x!)D0RL*{n&{IPA>12I5U4*c&#hcXp!XdDsfxsL;LrQY_)7a@9>_qK-K z2?d}xK6&(T%C>*APu3Dx@4XtwP`k34{P;r-6WF8#Nj z;@ZP=hsOvo)`KLF3bU{c#srGBtPas^mo=?n@Va`6Dx_U zydaDUn?Nbqn2kxe%H)C5D5wrmRc3N8N3)ra9esaZIudqX=+=Zx*{IEf9;wfM{KUg$ zQKm?MTYnngvA8vX(_8$OiVzw{>)Uzx)XnQKHBkdK3p4v0rcRVhr_BiCw_X(D-a8&H z_q0=B8=?eim$wGJaXHtVdhCunFmddGQaX+Hsl$g+3Ia84wy=z_>i`;IA7?UtnOHV&|*Wy!e_WL;{34^6O+B?^INt;+|D-J1dp~Rk4)V*5>??Q)qmys%a@B$MwyC1AO$Iz z-0M->`Pjktb-jleROSr~53i(RSWH3*g3g-eBQr;X6RyVNCX_xXk=leT#Dp@akOn3s z+ogMY>jo$lVT#4Ma9nx;7DzURqc1GIj6|s ztGk`{TP^&pjWSWDE8P+J85QMN4dYa(TzLs-q)me$CcUqkUes`w+mlL}Y-Z6wB zma99V!ZCZ3uo1vZ;eBR|njI4*VIy2R_sHzgsjOKlK@52^g6JhmYB-ppbcJ_OWuYEU z5m(S0C?OMiqc?uywTpl`K0Dt^GGB!4ZbfJ#WrC?{^*Ct{AWjH0o`mJqK7^)Q zO$2niV>msre{VBM;gsWOK!`jrDo-9ckh1Ns_n0{J##4>@sfX`A|K{4|3pbyA^3~^` zdG*5im9H(n_|cF4=%GXNn}f|x()^uIf92o&>K6bQW(gX+Fq2J)<2k{%?ZktRum|ui z?Ek#6o8hfuNvsLPvDYPGBg{fsprI>2y6?`zjj6FBN>7ZKymY6h_D!et0w6I6#9oP5 zVT(eFQszzb;kjcYFLh*B$HRYq^`(}ng}@X@!A{sv?UO67U(UxWd?cCr=)wEM$)Ipg zZQTH8&SN4HDWwbxFOSULwkK=foJ^kGTt(oA=Wd%xca&AIL>Z|;8BQHq=+vepK&uWm zcH1V>^OS1VZ5Wc`_-~EMD8}_47`%efro@ zl!8*!K_dZ1c8YBn4ba@XiSPGwI6b~^PczNn2{u`Ld8OCOAtWOQAviU})ALh{&t2?w zb7PHk2d5vr!E@(6a;2vAy0w|AO_S-*{OiXz)_SwG+5P+X{I~z`ryu{q+0D%! z2p|~CXCJ@ui+}&@^IupEUWYw59{BOVjfdXY2zvkkK?T8ScsI}g|BBss%q`xax~Dze zsAVPRO6(mKqmZcKz#PZ~B~<{*932-#OkM5e(cqV_zuvUjdYp#`f`@>{F8sjplTY@? zQ<^+7efY`nN&`umyAO5tucTo;Km$tAs55g;A`!(@eR#4xDW1G}H8qV(qrs=HohPn^ zIL#KLN`{hroIka;0?x*zuSA+^G_XeA2&Ky#>-qFFAP*ln{>H}ow%4kzuCiz)Dbbyo zSp>5P!AB@+b)|&tTU%}<@FggP0zm)*Q!GdXLhp5 z!D=2(-F@5gTEDk30Q0$5uY(!H@X9uk!greWh2#4dU%UdPW+OXsXl`-sqG2*XbFO)Q zX}uWb#c*g6OCk8&Q4pv%qWL7Bovz)zaO6v8AAjYAt2NDj^Iv@a@Bfeg*;AiA|I8Q8 z|L(6{-sok&{mIulSr$}@AVHIw`CC7Gr+7W}L;KEraNl_7YYmg6Z%aZ16-ZD3WWY?& zcP}ISsj(~J4FYha)=V?&4SA1Rr*-JQ&gRyOrelpz64d(uARYi0H3&?B;nfFGW+6jj z5SRlokum9|n_FY?dxX3~D^QV97I1>(%4qb78*fgTS~n0vwKfkPg+!MU!<+>jBT(s; z)oP#+2%J8>zPeSG&_pO@BcEFOI->?e@>zxRD7Q>IGw}Mz(c5nJC)

}=6))zvY3 z$M@rhVF&G^U<0*KTX9$7BShyjKpAY|LZ{IfdH6DDo}<<#kauBwSimGpYlD#!q&ri+ zz;LSG0a#ug4kz9i+o~l!Uy`T>21tB>(rDzCu66;2YHxe8xOS1!B;4$__f0L_zW3}` zUbD@_FiY+d*10|H$*{1LPKLcQ6yp)TboShT^4Q;a?UmI&#$Wv7SDyH_>u+4WJ`i`9 z?>~^F&V}tFzXebomA~|J=R9=!pJp?S+Gtpwd2IhfAKJgQmOuER{bwHg_J9Wve3jOX zp?A@KD|REi$|{Be8LvhW zhy~1I65(#)zP!C!H|gdeFB3JwL?mQ_aEjz}tCu^aBuFP7h1Vn^Dv*F{NG^{ipS*FQ z&h;_~OLQ{eICEw(hLVKSr?0PM(uCR&Kx)d;rwJpFN<~?%^r}dL2Lk0T2qLbu>ZPl_ zmsXkF-&%uN1cHx-4Z1TqH*WzuKEO~QFoCsn3y1c+ezTjU$z6MA7B?m!g(zSU(8~)D zBjFuGC9b-6m|ibO&`y>v?4Mg)zY0}&fGIZT-uvFLB(Qo1)SI&NQ z$uw(WoYyidH9UO!#DTrdbI)I@XC$t9X#asf{_+zw7)Gn%!{O#U74=syUu)X5Vd~_# z6aiq!Kw}D4BAzuF5xkeJ?u0>K{M^;ge(sV$r~lcv2mEB{*;1qR&A-Xt4EVp6Nq#rN zJBC6fVF8*m&Ep4KTi0LScbDbBR>%gOI3H9UQ3l>|bYK${qK*(Z%$eHN(de)-`)gC1 zx@yFz*IZP=tfnl&56m83S=(IkU{AQE=n6zIss>(4uQeD7T0t%_#E949jp+{`y7Qkt z^+ek0#0;!zR03c`nUqtL=5bCghSf+Z5AQkj>ahRGSH2#6c=-MY-st7qp@5od3?9WT zabR|94OV?vNkpq-20p;kTL_OHW`Pt0lP)gZfH5}7E^Or}<+2&#DjV-ZB0V3-p!f<>073+<^!BTWwN zpLzb-i{ggj;r!^feKQB=?dd!39d7rYd-i-f+S9Pf_Jy(m<9%+i53mYPpdEKb1)xg7 zSEKcWjHG9*_< z<4@kW*tRtZjMBZoH8-%Mi-Am_5J+K2SRFj1avhS2^{tg#aAh3Ftf_58r<0FTS*B*aitC22S5UyZ1(3ZWjTHN9Xq4G*`Ce)_9CT1hv&RJAOem2(nY0 z?+CMIed6Fn5C5>MpfJ~xFzL4!QN3FKBIUeO<=M)L)IDi#Q6fbyd)SMX*bmAan zvLy5;3&#&EU0myJkJWi384BNN*B9;g|o{D$>w&S5jlqje-^k@6@bXjQ0?0R ze!EvtEb0HzIRzN>>%Z`ID5V*H1K?{cUeo%wY2!oCIP3YItm=0!ycv{a##vK3Y!8d0 zQwBmt*#d>+E_s!k*r7JfmV~tXfAfyy&Dk@BzUha>6`OVjitp^W6 zg?|-B5ExU{%)%6nQv<`+e(B9+3BgbrBus*e2gDF81(LuZs{9fHAyB8@8d2hX3AG3k zznbNwnWVk(csi{ObMIZLc|e+I;UEAQqAFmHx)%eXUax=?s3EaBDNo;VXsMU?x?{}+ zY)MIDsWS1W?>@A2@n&!2V3Wm{ZlEYSv#o{woy8YcpcoC@(&avwF?M5-r3>3;x)Fx` z+3EU;dk#JKy91pLuNGpV7tx_};4OcPYHRXp7CqZomD1 zmb156gJ45o1U6!gaH`ZRipBAuog}4lTx%Cj-aEDLw{Nb!-|kJgB8|g?wQ@exz=?1t zYmP94G6K5*uWVr5#QoRD@A~5U*IzEeoT)uBxBp8{S!|SZlX!_)t`cuq2`EUTC{3-Y zXyL*dm`!@Q*ZY^RyarPXWdRt;JpzY7@CY7AYQMUC)eUlRDuLiUgt9DiNW?o*tG-<5 z%q*F-R~9feXoz3Dnbb~BbLAZjzGwfw=U-nrbN8|HD>r)+SKS^RIwT1! zP%6?WBO?uf$kO_xSNN$$?c{;!#n;zj1B5_eyL6>H8hZ#K2pcQxM+3K9^dXq;G={xN ze{+OFPM=M9W-uw9-rUHH^~9n^J=c-GaQ)KWgLh$WeUO$`lAWF9zV3_ zLb28zjZuW<%l+{2fE0B2DrSV8$MxtdU=%Rg^?6X1#HPiy1<=yMkd#K5or{C8H> ze^&s0SHoMF)EF@olvRu`{L%N^b;ZBB=7JHVY=ks$bU`r)RHvk-q#%KbH$>UP1rcIZ zlc?RElrL^?HEdQE;R6Sby!kxdL zFD>8PFwp`vFigznP|K129lLY`BNO-W58VF;OP4MT*5L5S-o0ySecSKwPt+i=N(>{V5{xSQLb@&oOm}MpVz2>#;7Dyz=z&h_ zKYr-WPyEqKv(vTyxb)tmRAhjV_kMd)0JO9+=ncxLR{iARxy6@Oh*>?NLzRkwhx19_w=aj_bKhNE z+Hz%bbZ+LM2kw30iS2~VM7#hBHfZR#n70rS^e+b6-x_~L8?S%vs(!aF=XNW+2|(m` zQCw~Z&z92O>UwB7(;^d8L_NVXi5iYR#~$$NRO6Yk5NL+%uUx&R!=lcqD;a@PvOyV? zTwLGE59|RN5#&#K?i8>TsSq;2TV7YQ)jlH*#LMqCaa2!Eb(vi16JVl`u z0s}U8BDY3mfk~~Fa(wUJpL);9U%Pnoi8ohZ(s3bgW0F_bi{PpHBv%WzctJtER{JwB zf(IFh0wkhH(#M~_492*?r=PwA@a^+6=U2A+aGh4YHw>U;r9N_O3Qi>j42U1Z&aR>1ZoF6R6OT;GI*GeJkL<)2jZvVmY^4 z;SB&t6NAKf!$BwnBG`P;(^RZfD{f;Aj1grMDiVtcS~nJC0}O%*PFp6q`@qa|FI~;V zP(b0ugADA}a3V!8T;Cc@Zd}-=)_j^fg4IAI%xaO3{;T81|MgdG)>8`EM4}aGDAWVSeVIrB$gGhw8Lh*RYF%T3&O4x2*ct1bF-^SZL1tdu{XSm z5QL@JSz-l-a9#o+5>_^4A(i7|Ab3(w0JT6$zX()L+?SVDF+WEVcT_tn?!c9o#m;h@ zgq0Z{a6qWu>ez$%t-D`}>aCCnNLh&@OPt9Fn_jP6TTqj551_gPNIS1APMgha z;ZW!K>noJ7D?)eZ43l_f4NxM{3(JFiEcLn__r~`=aB}I*YoGhV%QH!PP;_tGGj-n` zcl0*$L2rHayjSQWr|)Ym&u(p7h7kloNYNxD-_Up~7_@x8rz7HBQq=CmJF}eo%LDu_ zg?DvJ&@ikK!_Fai65)84h`hEyPp@u_T(H&#H6(_DNrk%;x47M}o75|(fyom&^)*s8 z2!;a+Ckjf{K2yd@+Ill`;TS7cC{ z%&HIq6Ex+tEaU@+_P=`V=Jt3Li*ut!L|Hkgp+J>onujIZtT%fOP|G$`HSnR@rH37| zN_0&uH70P)1r5{5Y9k@c~0i`m-Ag~QoGd60xDcYe{4sD1uxT2H>Cti(s#O;)KfJDm(_D)ZB z+CTQbJO7uLmp`y?&yBU-wjag$dA$24~(o^G-F+ z%n&i1EbaA2Q(5hf1G8r@Y@A$}KEFBYxeyK5mR853aj3{`B9SEm0jbq-IDO~A^Edi^ z7drK{Hx$hSh@=F{fO>*biDD6V#UySePd?b$Q+gZI7v+>=+7Mk~v2wm@f2-P=9i-0GFxUT=ig zCfFdP@id%8D-Klz>NRAr3`|CTb!nV{cR9AdZT$I{bKgN5uisgc-|g@YmVMCu$7by2 zz&m1L7B-?LC`G=JF#?W`4jjmMC514nS_;eo8nh5av_|%9gfg3s$HIoXxqoVN2ugw% zBV;)##lTZS)~PXS{Lp>u2j2IG&n=$MCGNdhVk$`{;2lR_+#GF`0x_&C%Dq9pcwwD7E%n&R*p>2x0%;&PC@DM^rd#K?NBw?&J|7^h zoxQjX!mR_Hy7*D>+`;JtVJ-p1(l>jMNJ9{mcHWoqRO9;C*}gp+bVQtDk-1l@5}2+a{w_w1YDBzV^P;x4pUaa<```rWFdnVv1lD-hlE^xVAJ( zV4%CM<^IcvKW`iV@r7E$j0Wz^2mjQn{u?;9mav=Q?L}M6AAjJs|LJ#DPc|~4u>@i< z5#9~ruXiWEdwJtW7WV(%6DxCSNKEiLZ|no@=I<4OshXw3W8$?1gTU~_f_9cI-RQSd zpMZrc<5U)uWz;y>XI8dWyE&9?ntk$1uZI3GIkd-lj^#bW`tkT9AGrPhec{sO^}=u( z;+o1+(35h)nXttE*Z|lSbwWJbZBOjY+;ea2j?B^4RAGQrS_4svV8I9HQs(z2r`ksUQCs_YFRRu(WpRf*XkNO!AXh?KgyK1MzL%pWZ0lYFXK zTR1ee__doeGqqkfmqIZ16DgSa+RE@Z{*O1o^xjj4FJ0Q&S|76a7nl3vUTKN;@9F%D zU;G+mynn87{Px?vdUk2nrh9B-=x^M+@ct{WEA)jQBUJRha}%l)5ALY#5XypQ|PTDx|O}**DgQbKIJm zYWGV$zcoC4Y~SOHn?|^B;6PltnlS|mfs9Q*^U}(wR~Q(%CEilg8~Jl9J%W|UhqbA| zZ~z=0Jve*w%KEk+Q#3-{X}mR##Dz(X!uni$!C|@{oW8XfyY);(922OIvJeI|8km9s zC6qzN9_^ZrN~A_qFe<5?Y3?d0SJac*r5#K#X!zuI3qS_gYxS?o2@ z?B2+~{I7={)GusZchDv}3Q{P+zTF*&c6!uZ7_pFk=l{F^?lvC4pDC5CIJR~BpZ|Av zCD|@^rDY-j4;`Iux3fYiC~II6q7p33K@(8}^;+>>AjSxlWhk9IynklwiN#pq!PtNF zzT1wpn|X;;O>g9$zS^y&wU|X!DC$5Hq1V<%lM)DQIf=d~6sR&4-O+N9kLHS;=OUgE z*IJ=cl0prWz2%Ff9tf-sVEfcce|zMiR^wL_h8XoQfwLrqCyIr7b%M^Kc55SSWV$dX*-EXHP&_exg;hh1f058FdcLG5|hCwY( z_9XRvNyB*B>Q0=OFMjUo&;1Y2{^OrJ`^&%ZqAR1!Xt#!_0(kM}co38f2VwDSIcYbi z$g2^UpavjtfRT7b(1FTO$E_>BOo7O2!x*B_UE3&p)yGKguoiemkLgwxzyVp_&C6a z0|JvWCjRhj=OaIGH-^qeqry05iz$64dsBdx+NovaF;&aX+_fLw^2|L4I*l4is${Bh zktVF(fN1$@J|2ouVp!ziStt4;*v)umBB^iww7*KXW+Q{7lHm`tV(Y#lS}`05q> zk$@7+S#%5<1}%fy&d>H+sph*S0(7dA-G6#d0Z+7xzOlE*t*YL;ZwCDSdb0-mU;e}U z8f_cA?p}Du?0x_KR;`(oN+K*CX;Povvky*8KqBhB3K7Vt8U)V3Gg%#8m|4|OVDZ!d zKeN)`%EclD0|pKTY_kl79%5Of})a z9_onR25Gv5?Z8I4PDVXPBS#Hn)HK;5P|AiPS8T^nO+5g!vXOuKix-e)p%A#8YTOgN za;yS`SqQn@v2SWBP5OPmbaf1CmoAM)qiCGA1-Ph5pW` zm#$psAKEwdA3k=^YOm|PYd5ny?>MwQ7?8JsHgO<9O^^?8eS&R5V$l(J1qjR)yl+v~ zZasEUFlaLJD@#NBUA3iqJK!CJcV3uwGrR%d`;T;HT3MkKJV`KCw)#FGDF5H(~0jLHx|+?&d@cS$L*s)~!|p)xpnQA8b#QU?|#> zh@n6f5Ih5RrCWab<#n5;TLX7)WeBoXdAeQ`4+;b!+F^-PAe4HzS^vrV4qzON5Czgg z4^K6J;`A|$0$09>2BFH*DH#(e6jDqCLh29`J_(aoc#@HaQ;1PdO#J-o+r7Sn)9zLQ zGTrUc7h1{G0wE9!5iw^eN(C5!NXev$mYP^&iQ%Co*xIvaZ;tw<%k|3D99|ZV&S%C< zcj`aXC9Mz+Jc-qmqy=J(w@%qM_$V7+_c9V0-4We+A}Yf}vgoFz31!~vPo zVB#LSeY(@iN>2%r{xE;@^0oD0_*dsv+iB_pM=#`*4amkT0$dg3Mm#mhJawVFIhI5X zdFZW#EJQF67N>ck(HF(AfuokS3v*MxRF+#U_YS~dR=VY9UbqRH1W)ipWUp-(zx~`5 zq?wd);?3k?g)j<#?*qqf@6xYktDSo;6`C%eUjGGvrn(~HjC64OBuXhIJUQy zrIu)JrUowtm<+;|<(whkfBJ-!`1})>THsXX6EqLZv>!TiXO7~%cRsSWd4gdD0pNf# z#k@tEkuXw5eYYj*KP`6i%$etN|=Mky9x( z%EejZ8EvR1!1f1j@zNk8t8e!+jM+PIa5R|+38RF1kgzyRCQ{K+eDy*g4q*H2#X)!A zprr90&<<;jgy8_CrIpcWEKq`13Xteh?yDpp>FALOuakg@oVjPubTdNHvB|<)8 ziAcz>Q4iY5+p#{c-U?eK_0*}S30Qr!WhGR*ZbV|aIE6``e6_pTb*voAb@D)7DLpkX z0`;~^pDQU>x!vu|+Z<2`gmogwb-J0Ixn~|uE?vzhqW~rHKrSZ^%{I~$C0G-9C9&$! zNRvB`&4qzGd2B9a({7~ifAF9mdKOBJsbw}FmW?d2mbSO@b1!TNiogBi?>lm+W1v&D zwAU+qX^et^i4+aA$K`mV+uPSUaN^ANi}+XD^oGGcMLWZMiaCPesyL4;*zeY?_}vl# z+HR-sd1M{{Gwp78E2a|j@SU^6QeiEnsRlMNsd{M=R<`nAeSU4Kkvh*2ejLDFGiD+i zdE=p!eU0R?d-fCsC{UBlQ|G&zLrGxZ5gm?$r;atBIlH#9?G2mpM3y&7z>)_==}3t0 z81hh06!UHPmij!V@d698?ItJcK%QdBO`#fbQy>;bAZrs21V<1<0x5L5nHjcm{s*Vk z*ennQZ~)>PTxD^!caON88R#aA`Sb1M+DowbRNTdFb$XT<+=A>ei;7rjY*LXO^yB z>76(-^S3|pK#6j?StH2axyA>7_~-zWhaSBB(A1uyr!SpIiiCY(4b~U`cD1e7=?~FVI>_fau!tn;M35W`?P+22ZBy$^-fxt$0=2$Ga zCCM}ai8Vwf?!6;i5!eV7xxh0A_NDd)fs`pIRU~sFsdiLvtI5BqI41;B2N=8f=Ab+9 zCN{~QDtJqEw1BkQit8<_V+SeZ#NKu@NyI7LI#dwH=1$!>R0VvgO`9Iy-$9_|OT&EZ z#p(7|VU>&$D^UOm$SWDkD&!Rol1r_TMER$&#*HPb84_~iVtaF9i4c%7O$Kshxn#(R z<9l26OhhbEnY$F&SQ-8PZ!Q7hZ~gfFhYz&1;5mIy260Qb?-@T5kgxR4H~2t6{hs@e z-*f*Rz_j68q^Wmd{LY0!Y3Fj=ZfC8bS`~2!6yk+#G1byr)QTn`n^+MRVIxFAt*G-F zD&KO-h_<`#jn_wsP1O};9yHa;4#gn_O*d=9o1{*SA*i7s96;cTJsOMiKtu##_8=ju zs&S^+09WrA1f@XmWQbE~^XbW;`xbAWhAfFZ6CPYCB;*c9fZld1>2ME`~$ zGCQ5#ex&o<%iG2zKc%|({Cc9kMwYdfKn+?V+o5pBrrMwV-)UMWCxy=|uc6W@0zbe&BTfXOQbL!MCRbSteJ9uLFCYdI-Z3|1vhEPIei zEHMQ|P!gy|D>YUrGdw?2!*=<>4;^hcv%S-ekNl^16dU=S$L8zS))RZziTR?R|MW-h zy6s@wO_U{rmsV!1QY*D{Q)xp@kU**iqzD=630iZ_J$Emh^sdHI+dEy~pH9u=z1ui@ z9>3kg#RMIT7NI`H(=D#!+k2sYQ@lHG`-==VG=%%`#~12N8)>cI1@KNY18cmp#1pn9 zIQGn5TZYdr4~C^MS*ebS!3xBSwN@&JCU5{is+_2~x;79mQ>|KXNR|5o|FsK4IDGhl z!w1`Sl%POnXX#~vl2mEDI$9P5UV%9vBAf%N-rECj%jy->Bgi8Wtwda^-+CqmVlvlm zmcRAI8z!}`&|6P1LMZgazIHpc`8aHCmPQDXi0(-}j1mjEQGinpu$q)T|KmS;{NQ}u zf=?W1BNrB%8FPJQ{9FI~rPfUL?2{XlK^S!1(_dYinyUTQZ!F)qGO8!mARCqCPkiKo zgZrB)n>+8=Ka%{BGlyoUvIIKUNdR91PkQF%bE{LF8BE=NeE+ciwKY8BP}Xt4AgkeE zhWP|7BW)`)fGC2#H93Db-ic7)?W&Sp4R2!zz{ejuaByE^`%TC3SBxRkcJIhmgzr@1LJ(rJ;b7rdBt<`ES>5 z-gL#lJ@@1WPiLS1!p_)C#mhGDNHVzk7}{`oAe-J+e>5{BUb6O|t7bS|?E!>RrM+I#aL z%dhLc?|aU@_xF4I>t%X23#z!e0Eg#c(u2}zNn?XpNYR-&?tlFM-@RT4=Rr;4t) zl2rMRs8XpovdCf-)1}y=tw^F$q8wR8krFA03jhL`p#Tu;%mA1Tn3?YB?$`ac-|u(t zIr-!Fx@Q2)(%bZO&)iRSHM)A4ZgjuzJNKOPz2}&6c#{q2Y$=SBr;*MBvrt$J zC{T*lg1dA1ul~Jv-MPD2^aFV^YKC3^iHG;>XjMY4R;iS(1xYSrHFy8}C$%SOV$9Nq zM$ZemVxT+P=}&%mCo*~c_+Zct7N7tY4EsTHVX{f3K}AR^jz&K-@}Ad1cT6M>WI#J6 zDq84{@k(O2VtD6RI_P-~Ql&~2#>00zLxv6)_@zTy1F9ISq7eco7&AzT7Wz1^NGsTF zu_M7whjD$2u$TXa91r1}!`nP9*y8dYK~T#_?i_XxH;hZWgtgp%LM#Nhem@+4qn8+quKfJ{ zyZ1FypMlFW^HMgx)T~-Qr8AbJcK|DA{qXPycTF@Zeh3QCLKEg6J~KPtDY%^C5C{RR zOr`Uz01A+Bz8I*$peL`L7yzsV5HRYK)z31rfl&5KM~hysj|Q?ddM zK!8=!LgCd9WxSa_^5NaR#r*C&Co9fYoxS_OJ{b|TMx;x2ouu?H(_ zFMQ*S0i4(fY)=2%fjyQ0k?AqX9~ zZR(+iZg&_v{py*Q`oDLSe|v!8-3RY!)ps)*4($|^gyf>@BFn^M`R4I9PYbq%yjxZC z@O=}#p#m(*8i)gxC-a)2bJH0z**#IsGGgVt?^8u;*f=BJkProMp-F0qj21*59N^f@ z&liWEJzF(qWIORFZ<|n{(s>4UKRVJ`So91oFKmpWx4Mze1yoLY7@f&S(OU{wXCD9S z+4=dxfJ*ZW6>1V^h|3eZRiHqURt=~;JeM^y3S634Sj#UY$#vKZ`*+s5z6iT){+w z35PZSf+aYD1uS3yUb^fZ**um#E!g_<_Fe%z{N7eKgMdW|!bX?^Oi&ZlF|p%Zh}9HB zegA>otx6(!s3exjs2_!864j*!wFw|0hOJmfUP+mhX;dB?O=e38C7ZK>z?C z07*naRAMxk@_B(^G_V%n0HY@BspM(|yp&fPAq`YB)|I=2!cuJaqmp=eN+)0D-WN2_ zWq;cvaft<$LzF_UrT$sqhdR-8Kl#99)(tG>a|)1a=mvPIrjEtJs}?|^A(sRDnvKNf z0~ss^4#a>ZX0w-V|LuJGhy%kd-=`Q?;XRR*0Eo@Q`5)8 z{^q+iR4r-_O?P4O$i?Utg&j4&!4Q!`FZQ%x8^~LMC0UiN@8xNVCMbDnIP=6ai)YVy z%a)ZFJ6SOZ6E*w0-#mBnY)IL{qdcsZt31o_YnJApT0uStAHH|@cs(8E;hxGWGy-X% zw5(gf^2r&VcywGR4uIMvd5^+42a>{;NA84pN7G5JW#2C3I#zWbes4SL3k!JUHcuYB zcR~h2fuvv*>OebMw!-}M;raR5A_156GZxDG01n|>kT(H9S}|%(P!^$bQAue# zCyy%AE64hGA87iVSlGgt0H?@@;ytUB=W4Y8>4jmbMoRO5Ox zbu~=ZFg}6XeW&$jhyMMu`1Moztrp(hz}-!}YXa}%&VgqSoln4`qR@B(-y$gB(zhsj ztINA|w)|KB*`9rStKFfhG7Fo)JUX1K5V}F4oEG( zer!1GhJ=z)2`ymQ3zCZwcA8Wj9sc3M;+(HCH;D<_BubzLNQoRV;O@F}U%Os2P=<;~ z1?`D3!|;1=seR@b-|c}ZbC(4&oSNrD=kZFjoi^+(fFUr!7}%7IK(52i%gALY{|xfh z0a9CC-Xmv=W98A|oWvNEhjW+!r=*4UhpOc&qA>EJmGN2S!h0B8#$gj+6y>x-5*UGF z!$KU?2$kpa(zRBe(#u1*SyJq%;WRRzkM4@{lDx}%-AkD^QGijZTGmm)oO~veW64ka z-GWnv37L4Q`B; zsNvD5b5GJ2)39Zft~)yUM)uo>`+I9?C`wbOT7gxR|P0}PV{Of-|l`4Dngzz7+JRcH~$+sPewG#`6x z_SCV#a4{G~`Two~#=s~XSdk=bRE)>Kq6N$|Bn36coGeo4n)6587}8^hF)p{HSa^B( zhl_YUpb)S{Ta~Ji?>>2aKPD|~fS9)&#V_pWUI_%s)LYyXYe_bl+=t!u`e#3X5KCuX zZ2jn6qN0O=25`twY1H<<|5h0Uqckd>s}A}iL#%35S;T5JfhmS^e%doBYckcYR19;Fl$;}SicGwR-@T*qsh`?AKz{e#Q)Bg( zfoz{bM}Du(7} ziqCy^=PkQy!vS$9Q)oC{9-l-ezzK|EwBj?@tQU-yH?&{`tb_ogB$;%k3kxzqS*Ucg zEFaF7bMLYZR?0g8`A+zSzq@b$u4;e5?-+9*{m6LM4My3BYR=@zh?0i`b+{C}7pK(0J+4FzdJkT0<*AGext7Nkt#%EiBGHGyQL0-5{UnF30A%E`BToo0lHAoFAjAZ41#{x1jp5At_)$;|%417aT zRR#v)1>35$woFh6I0&h-VZ(t~U=>*}eDaa8KmD`0V<+;|+R~$D1Xh(s16xKB*a{%* zhdR}AAA4|o*jJ-G3hY`cSq+$MLL@n#~KXa=h;@A?zRhK?y@ zRtp#nMjaP*7HdQ;$iOt~=FU5t72w&!oxx&A4OyU(vH{hoUA5fl zZ}F?F;*4!UbBo#Oqg@BHjWz^q3z%t$@W3Ox8cp|>_g}9cXthY0+b%53M+z)Q|G;WrK>*vbzQjni?(xb-9y(m*%vT zn#5A{hDX)$6Hm-_W{ZRg9y;ocLvt->7?YqZ?~P_l6w*%FsrjgsU# zHR0+h`+;<33I~#ccT7~Ow!)$oWL3*bd&9VHCx7-(uMTd)ikhrn+`6y+*?(|5L7#YZ zrgJ`PA{}FAphm@jceI-|qqe(a;aF8Mcf$UMnbx-o%szj3y5DI|v}?vP!4%>My4Wdx zd}zLg#_|fwrGojYA)*}ez7I|+RAb`3(GXLHP+lfk_OxId$vdeSfeFH*UIC-Ql(J_n12~nH_VT!Hlr(TcJU3JP;IZ@7G?9YHmjjh;8K27&uLCX4`Cs|` z?RV^}$cYF7u3l)06f@_smv{HG%~+tN)8 z)EyssYGMAoPq=ijj5_2LU_?ttnprBITV;5VfJehsVO5Ksz3rApEiqcaX!(UGJ!%f5 znRI4~ish^ue&Q!~?3_rnP^%!1l#;_wE_UaNgvbOWpgQQ;L%r^No*Gz%1=+H82v|_X z@Q$`4Xw6s$hG@|XmWTxoBpM7j0g(<{sQ%@l|L+@}Uw#VzlW8pO-+f!l?tk*ou@po_ zyMoGdhi36h2J$W+42ff9@Si;L zckX%r`>NPequWwU3i6apx#h6a$-S4QN6Sdydf^2Z?i{X+n0Q}PF6n8(cCxiV3!$Lp z#>~;MR1Q*eCPC?1;ozx~*xkDu=Z<&_GD?0a_b$b$9<6F}@I7OXKh<-@OG8djhRvls z97ZYcg`fBvQ`2V_i%jgO@CKt;zDix*?jUbD_+@!pffgt-H31S(OHAG?Z?OVF4RNo7 zkA0;5+Oclu98?`kHePqX`+uDn_O)(}QB{G3vXEgUpx$hF;fKQp40&TU5g4mbz%hnd z@n8I-TOa?%nd2w=-NU{H)QvTYOoZUZ+qmQ4Z7&{LIQr`MPW28eQi0UkhI&?gBG4CK z9G-pgbw!xK9jeM?R4?f6YD>2CqSqx?`g|hFG~9FVVh!Vhq{T z6oDaR)R?k%T{=k>DKz(%G#ShnNB?>zb>+npwL)5y&QMr|0S|lO%@h69Fb66MYs$2I zse^3=hIYf_la8W$(t@CbzFGcF)fpb>rC6naeUigd6s-_`U-l+td6YaNDOp{)xZ& zi4POBZcRW2NTxy7bpY3Qyj=7#RSPVr_&zycWYgE`Oj4}#i zp$^Ky8X*T$-r1fQcNJ?>O~$!p!3iV*Te3mI?RV7v{;%9N6t9J{Xcf&#eNPQzDJpfm zYu7IF z@=mT+454Zu22@Q`KlFoHf8@!<6uzwo7@XnFDq0r4uI)A&&mGFToH?#8%Ig+m72KJa zh31xgC_Uaxn&pNdCO8h|WOB9u2WLcC)hHlHfO5dAQ$q-(!D(GU0IaG& zMX3&b`DtIEsK6#L0TpA0gAji97j_^1*7*~!51#*iUWZ8}sbaheH-@TU=S%p#9E)e@ zv3cnLrjF_)-d{t7gZ}j&Hy6*Bdx;I84rL8y`O-giIyvK=743_!jzqR7%+weE$Rm5- z=4rupk$3qho9p@g2PbQl`QEu{od8#eMavA<0R~hAE(a=o(x9vR)671 z0jeAbOjZ%J%&wJ%iy_yl`pgrH-C3V7m-#aVSb@^0?aTsPlzX$lGQ5`UC{Ta}5}@lf zG0tix$_`Kg0|shU0SkDjw_rk3(6N9h0ZinLj@^HMa_Z>(po0oq=WG)A(XY;gBCkS- z<{33buYp@m;i;46k2LU$_%~zxL6QA``{TC_>GWgY?oEt07Z(=`FV7w6)zL^{3l(z- z8LzOG7TJmrQzjQYEyiB>Q*IA=mwClUe{$m4ue`ok4b9YgFbA@sJe-peSRXv4p|HGE z_e!9?aQ$IAC8~f^B{BVBY9z40K?j-BTx_CNp@G;_nJW7sH^%hI@6T5pc2AL240DEu z1*G)1Doi;`9HZRZ2n@;so(3_#S4&Nv4dj!b7=P_~K6@qyTru#DRaKo@tF+W(Vh3iB z2RWqx0fN!QfjdWAMZZIkTW)RK_tVWk`pTQtqGdy(m^mGuF8_vSam#UhN)!?m^RX4zA zMhhwr0v3SRmNQNX9#(;Z$&)K<-2qmKWfZ8(*JVhzGCKAfQKv8vM~*`k(8)3Pp$FSO zs3SOaR@tZ;Xo{MHq^LTmMM&T(XeKZA;JI_ zlweeZD|=DPDf;NyL9{f&>b6Bt@OmAN)nP zhE^S^?}ayy@u~kz&?9u#)3^*REr1l z8-mMuTCfG>9lRQI>BTil=z3LQ6(Sw=u}HLPO`hR}$2%3I^ZnYC473y~C?)L;!pQ7_ucK zsz%f*Q#NMYv;)dd5H0svGm9ZoC_xDzBhEk!tb!QUKoU%Non>f&qKX!yE*Lsgp(G%S zS`#Mg<)@FHeIEaMj-EUz&rbNiHGz9P0trvxzH`qul_vIK*Lx1^dF{pdcG~*Dd+LAv z^to1&q*b%nDRK>$9C&n*;IB#y-P?&vdRnm6a_`9OPz`V87=RP!76KR9bi#*xzs2jw+?}Q%E2ZOC(a5bAU|Xlaex~g0VDw@aHUJ! zB2>|;W86Vgs&bHtc3QR6!u}TaR8jR<2pD$o{0sD3Kk)zaqn&?on!k5mx&`se@riGTa+&r6{$<e{MlbR$V|;~2Qn5mffr>69HBc~ zOuxF=ZrN-`Qz+E-`{{UVUf2OR1trA{k= z@o4nW+joHTWA=FG&@{epQ9p%82RPruH0#7&_<4`uq$0q=i#wm3)(Yl%fEAFyDI7s_h9|2?p<$RJ$sGU{4r-N4Nejp=wapX2K?Gu< zK!q`Ik_vhigz2N1^iTm=FvB!)=Kv=?dI{ZlOfL^4pZiUk_64h zamVfWdnfSN!S>YtgSY(X(A?B`(p{WWUpYV5Jv+BhL;BcXP6Jwl2{L-hC{Nl17?463 zloG3Ir;}aeQF-SiQ@Zebk8E?U;Az1Yk++Dlp+ETI%co`+Cu}u%0YJo)#+HxGg-%Yu zH@^Nl0SWB4&Qo>H6{1t^;Y1S)1He}jR0{+L87%BTwou{1Dmf*9eP*@DjH*#}@I|je zO|ei15VWkBm4|98WFhR^Miwo+gVj7`TR4b8VN@&v`y>&Q!YJwixq-6mP=w)JFJMqX zLofiC&~4B}rhFFPouUT|9gf}q!#9p}pI`W6Gd7dXKUm{CPJ|OFToXGx=o|19KH_@S z*;h3M-+AZG<44afcF4h65>c(PAwc93^HP@QpOk`st4HOX8jh;Iakzq~1zSYkY^cBJ z|M1I48c50<8-S`Vm)6S1Yttl9sHg%10fA0;vJ;&lLoJMf5r{)I$oNYqvK?)*S~IF1 zeTTG47T_8Zf+I0ukUMCqj$o`5PALHy;gGSVVJbT+3kP%jm_SAnco2M5+N?_OwQ!4| z$k17;NDmsgtBco8;*X~BriokB0xdQi(P?B_Z1>H zE0^=MU@OR51k8B$vFW*)VL&;H{L&BKW#wb3B zkZ)41;Az2Dkay`w`|7`Zp@ZzB58iU(mBmgcTjA{@1)$3@t$b|=ro0IiRDh6RN+GW= zyeSYx%OMfy`Tq1YzkCi)Th!m^q-`AV2#i`?cGb0c4o{uOb1i)z!*y}qAkC3gvEQRN zkJEK5jAK86=kP)k`v}z@&NHkH7C;vnht3XiwWw6{6Oawst|@X zs0+w~0pn1yNQPLj@O8A#;6ww}fWbWG_O$OD^nC6;K^07J1}q4Go!F5O;f?9;hwk5b z>S(vq$t)P)Qlh01*i*1DI%@3OYzkip2Rxtym3 z*V)#hFqRf(^KX3RwH6ZZFMU^W_5Ui$9^e(@odV_R`Oz#9Kn3EF15oHp7!Xo|6Ieo8 ztta~qwmpc+5SU>JL{I|*NP(=-q5}8ap_#>wx3EjvUb0QE)&Kwu7fD1xRQ_JDU<)pj z>UR_umZzGCj$SSdExh<2i9{~u%AOWnUwN0M;IDo0<>NC8iM@34uv!#w#A7xMPzG7> z%4I4gfI!>r)Y?@% ze?@LEF1LH=!Y6F<544O306hEn^z6*Qf;UPS1PHWHVUUM(G2E#6VXNG~bBTeW8we43 z$i9P(YTd4H!f9k1bGh9^%Urk8TG?X9lx(23Zyt1%>PKzgyj4 zHt_+vM&dS~o180qT5x@AEea48X7m62UH@@*W*EtC3=TY_QPJ;zEwdpwhEA75%uMG_Ugl*1fSIp%3AEFGkIN=c7%HHRsOb$FBvW#uheO0ASn zdE$*~Pi%`hMBU?ItI&Z`b7&^aA!Lkgq1k&~TR(5b_(=!>ixmEidLO;cfmU-O#&Bgwf@u|G&(ZoedKlbaua{B^L((E zg>rClIyW+Q&yc*NgIy5&sBBs=GJu4%Ok4cew{GS zh%B+;KClgFQvPEqbjgdeLpL#W?Jf}7q2>WDYdX}3vvi$ar9QK%IVHwg?HyZt!$j$~ z_WGo3IS)f;HEQB*E6z$q>hOY#b^urC&=niKHV-Bruq-4$V6^30kQM|QiK%P3_H-z{ zt~}&2Fr$@_Rp_SbkPoNr!UX581c7!*t0=N#x5EZ9+}VpajFpD)de`_Xv-! zFWE7RSlfU~2HMD4^69>OxTm?qX}(s5-(4sT{Kk5VBHV`KlY1_k)oPB8uIMC+unIGl^y$fC_DlQghjrB;2xS- zHAQ!fxU`Pm+?=;LwwbdY*dPhottNO&A|KwyCq>@G9I?MHM3@cDDYD%Xuc0(YYs+0>|MT4C8+98VN{o_Pc1jZ9oaKr#}EO0jV=Al$C}Ym+BwLQAL3cYF$lP;0WumgzRWR>9tVZyJIja{fE_7cF$?sIerc!b zy?R)UV#Z6qHAwAlbW=yO?Q?U|!CV!;C22j0jw4{p}0WHW1;Q#RDOWH^Hj zu0@cdDHXl@l1nFuHJR_5Q{L9AlTp4DLb0>SutjJ4g420a!W$r0r@!;h7H`#yOX}Q^ zhPJtDdg+y35DRx)0rv~6$0v_%Xt-22FnnRWvr z4W5e%9UC(J@7WP=#%~$?8AD90ylA0T`QIH+uYHZl&J2<_&rp6JY~{mBTUqffDsX?V zFhJwf&yS}!Z4S3C=Y!e*Z=$O$$_AzD(6jqS&h&@eTx(M@v9zsa!S4RS zEAltcym!ApW%EUJciqOyLzLXcjamgf-9-@;#>dc{fvcT%dNkzDr)KF|hFfqR}0UZB}yM8&Ib{JnkYi@_?z zRPFa(UJ~`=a{l9rr^y8vEg#?8`_^p7WlG-qFwf#&p7T4mvg?8an; zJ^)i|T$rTf594E(mjF%W7ZLkDRu^8s-yDY>nkWs)uAjceA2qJr9>`#zaVdzsRjQ&+ zo9_(z#z*ma&oIgj@=k#ys>aJqk`Dagcm-60wpHy|Ue6aLk*15lt&bDV3B+5i;Cj+i z@I7jKrs6A<+XUrsheyE2!{x?pHnYgqnJ2`tAuQU4e_(6j@TxvRNCQ+tcR5vrE^drKSxov3MZmL(YVz>9(&tE-Q}X z`O*2VAf^m*l)zB*Tym{Jdjg7bxD|<%&OWF0IIt*aU6oF@XN!_LXN;`o9F+IzaTUj_ zx6QGIA!G&G$7mpG`#Y{VPWLN`$M;A8BeJHltu0LJ5f&)1mJq}m!KTQ`|EbN_`L+s2 zt1ha;!4!ToOjBH!LBo21_C^Mxdr{6qszJAxk}Or0_9z@E3|+>NqJ8{_xHxk6!`CeT zF9yk_tGMR$n73DX(@vealYO~WGnZAennq=_f=rmmkY>1J>4g!JHdC+-ci_oq=s@D> z$&LBtt literal 0 HcmV?d00001 -- 2.39.5