From dc3cb6799d479c228f98d8ed30ed748ca9acd22c Mon Sep 17 00:00:00 2001 From: guido Date: Sat, 25 Jun 2005 09:27:02 +0000 Subject: [PATCH] remove assertion to allow for smoother flow of tests git-svn-id: https://svn.dealii.org/trunk@10941 0785d39b-7218-0410-832d-ea1e28bc413d --- tests/fe/up_and_down.cc | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/tests/fe/up_and_down.cc b/tests/fe/up_and_down.cc index add039ea3a..19fe781233 100644 --- a/tests/fe/up_and_down.cc +++ b/tests/fe/up_and_down.cc @@ -86,7 +86,7 @@ void check_element (const Triangulation &tr, for (unsigned int c=0; c::children_per_cell; ++c) Assert (cell->child(c)->active(), ExcInternalError()); - // then restrict and prolong + // then restrict and prolongate cell->get_interpolated_dof_values (tmp, v); cell->set_dof_values_by_interpolation (v, x); }; @@ -115,8 +115,9 @@ void check_element (const Triangulation &tr, << "; relative residual: " << (relative_residual