From 29b4e78df76de136ee62c39eb0e33593386939ff Mon Sep 17 00:00:00 2001 From: Wolfgang Bangerth Date: Wed, 23 Aug 2017 11:05:14 -0600 Subject: [PATCH] Add a changelog entry. --- doc/news/changes/minor/20170823Bangerth | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 doc/news/changes/minor/20170823Bangerth diff --git a/doc/news/changes/minor/20170823Bangerth b/doc/news/changes/minor/20170823Bangerth new file mode 100644 index 0000000000..77c3c29a88 --- /dev/null +++ b/doc/news/changes/minor/20170823Bangerth @@ -0,0 +1,11 @@ +Fixed: It turns out that it was not possible to copy an invalid +operator, i.e., the following code would yield an exception: +@code + typename DoFHandler::active_cell_iterator invalid_1; + typename DoFHandler::active_cell_iterator invalid_2; + + invalid_1 = invalid_2; // resulted in an error +@endcode +This made no sense, and has now been fixed. +
+(Wolfgang Bangerth, 2017/08/23) -- 2.39.5